CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:Verilog

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-10-27
  • 文件大小:
    128.4kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

田耘《无线通信FPGA设计》书中例子的Verilog代码-Tian Yun, " Wireless Communications FPGA design" book example of Verilog code
相关搜索: Verilog Wireless

(系统自动生成,下载前可以参看下载内容)

下载文件列表

Verilog代码/c10/10-2/mult.xco
Verilog代码/c10/10-2/mydds.xco
Verilog代码/c10/10-2/square_syn.v
Verilog代码/c10/10-4/coastas_dds.v
Verilog代码/c10/10-4/costas_lf.v
Verilog代码/c10/10-4/costas_loop.v
Verilog代码/c10/10-4/costas_lpf.v
Verilog代码/c10/10-4/costas_mult.v
Verilog代码/c10/10-4/err_mult.v
Verilog代码/c10/10-4/fir_lpf.xco
Verilog代码/c10/10-4/mult.xco
Verilog代码/c10/10-4/my_dds.xco
Verilog代码/c10/10-6/dearly_sub.v
Verilog代码/c10/10-6/dedds.v
Verilog代码/c10/10-6/delay_early_gate.v
Verilog代码/c10/10-6/de_mult.xco
Verilog代码/c10/10-6/eddds.xco
Verilog代码/c10/10-6/iir.v
Verilog代码/c10/10-6/iir1.v
Verilog代码/c10/10-8/baker.v
Verilog代码/c11/11-10/div16.xco
Verilog代码/c11/11-10/fir_rls.v
Verilog代码/c11/11-10/rlsmult.xco
Verilog代码/c11/11-10/shiftreg25.xco
Verilog代码/c11/11-10/shiftreg28.xco
Verilog代码/c11/11-10/shiftreg3.xco
Verilog代码/c11/11-12/dfe_filter.v
Verilog代码/c11/11-12/dfe_mult.xco
Verilog代码/c11/11-14/aa_adder.xco
Verilog代码/c11/11-14/aa_bram.xco
Verilog代码/c11/11-14/aa_cmult.xco
Verilog代码/c11/11-14/ad_a.v
Verilog代码/c11/11-14/shift16.xco
Verilog代码/c11/11-2/fir_lms.v
Verilog代码/c11/11-3/fir_pipline_lms.v
Verilog代码/c11/11-3/lmsmult.xco
Verilog代码/c11/11-5/mult.xco
Verilog代码/c11/11-5/shiftreg4.xco
Verilog代码/c11/11-5/sign_fir_lms.v
Verilog代码/c11/11-8/blockconnect.v
Verilog代码/c11/11-8/cmult.v
Verilog代码/c11/11-8/coe_updata.v
Verilog代码/c11/11-8/complex_mult.xco
Verilog代码/c11/11-8/fft_block.v
Verilog代码/c11/11-8/fft_block_lms.v
Verilog代码/c11/11-8/fft_w16_p32.xco
Verilog代码/c11/11-8/gonge.v
Verilog代码/c11/11-8/ifft_block.v
Verilog代码/c11/11-8/insert.v
Verilog代码/c11/11-8/save_sub.v
Verilog代码/c11/11-8/shiftreg.xco
Verilog代码/c11/11-8/shiftreg3.xco
Verilog代码/c11/11-8/shift_reg2.xco
Verilog代码/c11/11-8/srl16_w16_d16.xco
Verilog代码/c11/11-8/test_block_connect.v
Verilog代码/c12_0/12-6/rake_cmult.xco
Verilog代码/c12_0/12-6/rake_mrc.v
Verilog代码/c12_0/12-6/rake_shift4.xco
Verilog代码/c13/13-2/ovsf.v
Verilog代码/c13/13-3/Dscamb.v
Verilog代码/c13/13-6/adder_18vs18.xco
Verilog代码/c13/13-6/CPICH.v
Verilog代码/c13/13-6/ram_1024.xco
Verilog代码/c13/13-6/ram_descramb.xco
Verilog代码/c3/3-22/adder8.v
Verilog代码/c3/3-23/adder8_2.v
Verilog代码/c3/3-24/adder8_4.v
Verilog代码/c5/5-1/adder16_2.v
Verilog代码/c5/5-10/div16.xco
Verilog代码/c5/5-10/div16_1.v
Verilog代码/c5/5-11/divf16.xco
Verilog代码/c5/5-11/divf16_1.v
Verilog代码/c5/5-15/dds.v
Verilog代码/c5/5-15/rom_cos.coe
Verilog代码/c5/5-15/rom_cose.xco
Verilog代码/c5/5-15/rom_sin.coe
Verilog代码/c5/5-15/rom_sine.xco
Verilog代码/c5/5-16/dds1.v
Verilog代码/c5/5-16/mydds.xco
Verilog代码/c5/5-16/transcript
Verilog代码/c5/5-17/cordic.v
Verilog代码/c5/5-18/sqrt.xco
Verilog代码/c5/5-18/sqrt1.v
Verilog代码/c5/5-2/add_4.v
Verilog代码/c5/5-3/adder.xco
Verilog代码/c5/5-3/adder1.v
Verilog代码/c5/5-4/ade.v
Verilog代码/c5/5-5/mul_addtree.v
Verilog代码/c5/5-6/cmultip.v
Verilog代码/c5/5-6/rmulti.xco
Verilog代码/c5/5-7/mult_8.v
Verilog代码/c5/5-9/divider.v
Verilog代码/c6/6-15/IIR_Filter_8.v
Verilog代码/c6/6-17/iir_c.v
Verilog代码/c6/6-17/sub2.v
Verilog代码/c6/6-18/iir_pipeline.v
Verilog代码/c6/6-20/iir_par.v
Verilog代码/c6/6-23/rrc_128.coe
Verilog代码/c6/6-4/FIR_lowpass.v
Verilog代码/c6/6-5/mult.xco
Verilog代码/c6/6-5/ser_fir.v
Verilog代码/c6/6-6/fir.v
Verilog代码/c6/6-6/mult.xco
Verilog代码/c6/6-7/da_fir.v
Verilog代码/c6/6-7/DA_table.v
Verilog代码/c7/7-10/cic_dec_8_three.v
Verilog代码/c7/7-11/crc_interp_2_single.v
Verilog代码/c7/7-12/cic_interp_8_three.v
Verilog代码/c7/7-14/dsp48_core.xaw
Verilog代码/c7/7-14/hb_filter.v
Verilog代码/c7/7-14/lut16_core.xco
Verilog代码/c7/7-16/cic2_interp.v
Verilog代码/c7/7-16/cic4_interp4.v
Verilog代码/c7/7-16/dds.xco
Verilog代码/c7/7-16/fir16.v
Verilog代码/c7/7-16/mydds.v
Verilog代码/c7/7-16/rcf16.v
Verilog代码/c7/7-16/rcf_dsp48.xco
Verilog代码/c7/7-16/sender.v
Verilog代码/c7/7-16/sender_fir.xco
Verilog代码/c7/7-16/sender_modu.v
Verilog代码/c7/7-16/send_mult.xco
Verilog代码/c7/7-18/agc.v
Verilog代码/c7/7-2/decimate_4.v
Verilog代码/c7/7-20/filter_bank.v
Verilog代码/c7/7-20/trellis_unit.v
Verilog代码/c7/7-4/interpolate4.v
Verilog代码/c7/7-5/rate4to3.v
Verilog代码/c7/7-6/polyfilter.v
Verilog代码/c7/7-9/crc_interp_2_single.v
Verilog代码/c8/8-10/dds1_cosine.xco
Verilog代码/c8/8-10/dds1_sine.xco
Verilog代码/c8/8-10/dds_modu.xco
Verilog代码/c8/8-10/iqmodu.v
Verilog代码/c8/8-10/iqsin.v
Verilog代码/c8/8-10/msk_mult.xco
Verilog代码/c8/8-10/msk_top.v
Verilog代码/c8/8-10/s2p.v
Verilog代码/c8/8-12/ddsqam.xco
Verilog代码/c8/8-12/qam16.v
Verilog代码/c8/8-14/ofdm_fft.xco
Verilog代码/c8/8-14/ofdm_modu.v
Verilog代码/c8/8-2/two_ASK.v
Verilog代码/c8/8-3/ASK_two.v
Verilog代码/c8/8-5/QPSK.v
Verilog代码/c8/8-6/QPSK_two.v
Verilog代码/c8/8-8/two_fsk.v
Verilog代码/c8/8-9/fsk_two.v
Verilog代码/c9/9-10/conv_enc.v
Verilog代码/c9/9-11/viterbi.v
Verilog代码/c9/9-13/block_ram.xco
Verilog代码/c9/9-13/interleaver.v
Verilog代码/c9/9-16/tcm_enc.v
Verilog代码/c9/9-2/linearcode.v
Verilog代码/c9/9-2/lineardecode.v
Verilog代码/c9/9-5/crc_16.v
Verilog代码/c9/9-8/rs_enc.v
Verilog代码/readme.txt
Verilog代码/c10/10-2
Verilog代码/c10/10-4
Verilog代码/c10/10-6
Verilog代码/c10/10-8
Verilog代码/c11/11-10
Verilog代码/c11/11-12
Verilog代码/c11/11-14
Verilog代码/c11/11-2
Verilog代码/c11/11-3
Verilog代码/c11/11-5
Verilog代码/c11/11-8
Verilog代码/c12_0/12-2_0
Verilog代码/c12_0/12-4_0
Verilog代码/c12_0/12-6
Verilog代码/c13/13-2
Verilog代码/c13/13-3
Verilog代码/c13/13-6
Verilog代码/c3/3-22
Verilog代码/c3/3-23
Veri

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com