CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog LED

搜索资源列表

  1. LED_200T

    0下载:
  2. 本工程包含了一个LED灯测试程序,可以任意更改测试模式。(This project contains a LED lamp test program, you can change the test mode at any time.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:
    • 文件大小:2680832
    • 提供者:yaong
  1. sp6

    0下载:
  2. 使用赛林思公司fpga开发的8为led流水灯程序。(Using, a company developed by FPGA, is a LED running water lamp program.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:111616
    • 提供者:xshtx
  1. ic_ds

    0下载:
  2. 不能少于20字能不能少点啊啊啊啊啊啊啊啊啊啊亮led(test_leddsf aasdfas dfs dfa)
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:5547008
    • 提供者:D蒙
  1. led_test

    0下载:
  2. 实现流水灯的控制verilog程序,源程序vivado 2015.4(Achieve water light control, Verilog procedures)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-14
    • 文件大小:7444622
    • 提供者:win1234
  1. 04_led_test

    0下载:
  2. verilog 入门 流水灯verilog 入门 verilog 入门 verilog 入门(verilog led test xilinx)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:2488320
    • 提供者:翻山越岭
  1. 至简设计法--流水灯

    0下载:
  2. LED流水广告灯 工程说明 在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。 案例补充说明 在FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。(LED flow advertising lights Engineering
  3. 所属分类:嵌入式/单片机编程

  1. 至简设计法--特效呼吸灯

    0下载:
  2. 特效呼吸灯 工程说明 本模块的功能要求是,实现8个灯前1s慢慢变暗,后1s慢慢变亮,不断重复以上操作。 案例补充说明 呼吸灯效果的LED每时每刻都在以不同的功率工作,以不同的亮度值拟合亮度变化,从而形成非常平顺柔和的灯光特效。亮度变化实际上是通过占空比的变化周期来确定的。占空比通过cnt2的计数来变换,而每次变化为1ms,因此PWM的周期是1ms。(Special effects breathing lamp Engineering descr iption The functional r
  3. 所属分类:嵌入式/单片机编程

  1. breath led

    0下载:
  2. 这个是呼吸灯的verilog的程序,下载解压即可用,适合新手
  3. 所属分类:VHDL编程

  1. led_test

    0下载:
  2. 利用verilog实现了流水灯功能,包含完整的工程文件和测试文件(The use of Verilog to achieve the water light function, including complete engineering documents and test files)
  3. 所属分类:VHDL/FPGA/Verilog

  1. game

    0下载:
  2. (1)设计一个由甲、乙双方参赛,有裁判的 3 人乒乓球游戏机。 (2)用 8 个(或更多个)LED 排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的 LED 指示球的当前位置,点亮的 LED 依此从左到右,或从右到左,其移动的速度应能调节。 (3)当“球”(点亮的那只 LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得 1 分。 (4)一方得分时,电路自动响铃 3s,这期间发球
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:745472
    • 提供者:heyu7892020
  1. DEMO_V

    0下载:
  2. 实现led循环的程序 适合初学的人 可以下载学习(this is a demo verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:3324928
    • 提供者:柔柔弱弱
  1. project_1

    0下载:
  2. 在FPGA上实现一个流水灯,包括端口设定等(On FPGA to achieve a water led, including port settings)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:562176
    • 提供者:杨云霄
  1. FPGA分频

    0下载:
  2. xilinx spant6 PLL分频,生成4个不同频率的时钟,实现LED闪烁。(xilinx spant6 PLL frequency division)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 04_led_test

    0下载:
  2. 完整的跑马灯的FPGA代码,芯片为xilinx的S6(run led FPGA code , based on S6 of xilinx)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:1343488
    • 提供者:声声不洗
  1. led

    0下载:
  2. 使用quartusII实现verilog的流水灯编程(Use quartusII to implement verilog - flow lamp programming)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:1328128
    • 提供者:zhouzhiyuan
  1. lcd5110_耗费资源少

    0下载:
  2. Quartus II 项目,可驱动LCD5110液晶显示屏。(This zip file contains a quartus ii project, which can driven the LED screen LCD5110.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:4725760
    • 提供者:蝠蝙
  1. KEY

    0下载:
  2. 使用verilog编写的用按键控制LED灯,对于初学者是很好的锻炼(Using the key to control the LED lamp with Verilog is a good exercise for the beginner.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1286144
    • 提供者:记忆中的我
  1. lession_10 led_diver

    0下载:
  2. 流水灯驱动 状态机写的 很好 已经运用有实际编程中(LED drive The state machine is well written and has been used in practical programming)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:6009856
    • 提供者:18108156237
  1. led_test

    0下载:
  2. 基于Verilog硬件语言的流水灯设计,可以实现4个led灯按照流水灯的形式闪亮。(ased on the flow lamp design of Verilog hardware language, 4 LED lights can be realized in the form of flowing light.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:1341440
    • 提供者:悠悠行人
  1. SOPC_LED

    0下载:
  2. 在FPGA上建立一个简单的SOPC系统,实现LED演示功能(Building a simple SOPC system on FPGA,Implementation of LED demo function.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:2827264
    • 提供者:surseaman
« 1 2 3 4 5 6 78 9 10 11 12 ... 18 »
搜珍网 www.dssz.com