CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog machine

搜索资源列表

  1. PS2_SOC2

    0下载:
  2. 利用Verilog HDL设计了PS2鼠标。 我们在Altera公司的Cyclone开发平台上测试了这个模块。正常动作,可以直接利用。-This is a state-machine driven serial-to-parallel and parallel-to-serial interface to the ps2 style mouse.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:26218
    • 提供者:金铁男
  1. fsm

    0下载:
  2. 三段式状态机的典型写法,verilog实现-The three section type of typical state machine method, Verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1050
    • 提供者:mxc
  1. xvlijiance

    1下载:
  2. 附件包括四个内容1.采用Verilog编写的状态机实现序列检测的ISE工程2.代码文档一份3.原理说明4.使用说明。采用的软件平台是ISE13.3,硬件平台是Spartan-3E。-Accessories include four content of 1 by the state machine Verilog prepared realize sequence detection ISE works 2 code document a 3 principle that 4 instructi
  3. 所属分类:其他小程序

    • 发布日期:2017-05-07
    • 文件大小:473800
    • 提供者:zhulinglei
  1. ps2scan

    0下载:
  2. 采用VERILOG的CPLD编程,通过ps2接收键盘数据,然后把接收到的字母A到Z键值转换相应的ASII码,通过串口发送到PC机上。 -Using VERILOG CPLD programming, through the PS2 receive keyboard data, and then receive the letters A to Z key transformation corresponding ASII code, through the serial port to se
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1315
    • 提供者:suzhangzhan
  1. adc

    0下载:
  2. VERILOG编程,利用状态机实现对TLC549的采样控制,实验时可调节电位器RW1(在开发板底板左下角),改变ADC 的模拟量输入值,数据采集读取后在数码管上显示。 -Implementation of sampling control of TLC549 using state machine, adjustable potentiometer RW1 experiment (in the development board bottom left corner), change t
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1503
    • 提供者:suzhangzhan
  1. fpga4_123

    0下载:
  2. Verilog code for traffic light controller and vending machine
  3. 所属分类:Compiler program

    • 发布日期:2017-04-29
    • 文件大小:94044
    • 提供者:akkijamzala
  1. test-series-10010

    0下载:
  2. 用于检测序列10010的程序,Verilog的状态机练习-Used to test series 10010 program, Verilog state machine practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:381477
    • 提供者:王佳
  1. autosell

    0下载:
  2. 自动售货机程序,以Verilog三段式描述方法描述有限状态机FSM,编译及输出正常-Vending machine program, describe the method described in Verilog three-finite state machine FSM, compile and output normal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:636
    • 提供者:Tom xue
  1. tlc549adc

    0下载:
  2. 使用verilog编写的利用状态机实现对TLC549的采样控制,实验时可调节电位器,改变ADC 的模拟量输入值,数据采集读取后在数码管上显示。可以自己用万用表测一下输入电压, 然后与读取到的数据比较一下。-Use verilog prepared using the state machine to achieve the TLC549 sampling control, adjustable potentiometer experiment, change ADC The anal
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:230870
    • 提供者:wangyan
  1. Ex12_state_machine

    0下载:
  2. 状态转换机,verilog hdl语言编写-stata machine ,verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:152030
    • 提供者:yinxiupu
  1. quartus

    0下载:
  2. 流水灯状态机的一段式描述和二段式描述还有三段式描述的Verilog源码-Light water section of the state machine and the two-stage type descr iption descr iption descr iption of Verilog source code as well as three-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6657585
    • 提供者:刘佳明
  1. fsm

    0下载:
  2. verilog语言,有限状态机实现的序列检测器-verilog language, finite state machine sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1061
    • 提供者:
  1. RISC_CPU

    0下载:
  2. 这是用verilog写的一个基于状态机的简易RISC_CPU的设计,里面包含各个模块,每个模块经过仿真没有问题,整个工程在板子上经过试验。-This is a verilog to write a simple RISC_CPU based state machine design, which contains various modules, each module through simulation without problems, the whole project tested o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1121160
    • 提供者:冯永帅
  1. IIC_uart

    0下载:
  2. 本程序是用Verilog编写的,可实现IIC协议,同时联合串口uart通信,可实现pc机调试-The program is written in Verilog, enabling IIC protocol, while the United serial uart communications, enabling pc machine debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:761131
    • 提供者:zhijun
  1. AD7606

    1下载:
  2. AD7606的状态机驱动,并口模式,verilog代码,可正常使用。-AD7606 state machine drive, verilog code, can be normal use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2653
    • 提供者:小波
  1. traffic_control

    0下载:
  2. 使用verilog语言编写的双向交通信号控制灯程序,通过状态机转换实现车行道和人行道功能,以cyclone IV系列开发板做为应用平台。-Verilog language using two-way traffic signal control lights procedures, driveway and sidewalk functions via a state machine transition to cyclone IV Series development board as the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3657494
    • 提供者:郑俊哲
  1. src

    0下载:
  2. verilog 通过串口控制VGA显示黑白机彩色棋盘 开发板是Xilinz RQ208-Color display in black and white machine control board through the serial port VGA Development Boards
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:8055
    • 提供者:任意
  1. VendingMac

    0下载:
  2. Verilog实现的自动售货机,使用有限状态机进行处理。包括Modelsim和Spnplify的综合工程。-Verilog realize vending machines, using a finite state machine for processing. Including integrated engineering and Spnplify of Modelsim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:238880
    • 提供者:scarab
  1. zhuangtaiji

    0下载:
  2. verilog一个有趣的状态机事例,简单易懂。适用于初学者。是一个小游戏的,sparten板子可用。 内含测试。-Verilog an interesting state machine case, simple and easy to understand. Suitable for beginners. Is a small game, sparten board available. Inclusion test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:481164
    • 提供者:张家郡
  1. waterlights_fsm

    0下载:
  2. 采用Verilog语言,编写三段式状态机,实现流水灯操作,已测试验证通过-Using Verilog language, written in three-state machine to achieve water lights operating, it has been verified by test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2986852
    • 提供者:杨增健
« 1 2 ... 11 12 13 14 15 1617 18 »
搜珍网 www.dssz.com