CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog state machine

搜索资源列表

  1. xcv

    0下载:
  2. verilog编写的状态机检测00100序列. 实现 input:...011000010010000... output:...000000000100100... 并且 用测试模块来验证状态是否正确工作-verilog prepared by the state machine detected 00,100 sequences. Achieve input : ... ... 011000010010000 output : 000000000100100 ... ... a
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6837
    • 提供者:陆磊
  1. 16bit_booth_multiplier_STG

    0下载:
  2. verilog程序,实现两个16bit数乘法,采用booth算法,基于状态机实现,分层次为datapath和controller两个子模块,testBench测试通过-verilog procedures, two 16bit multiplication, the algorithm used booth. Based on the state machine achieved at different levels for datapath controller and two sub-mo
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2241
    • 提供者:seiji
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. 03034

    0下载:
  2. verilog中的一个不用状态机和决断函数就可以实现多重函数赋值的例子,希望对你用帮助。-verilog of a state machine and no decisive function could achieve multiple functions assigned to the case, you want to help.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:276666
    • 提供者:记记
  1. SDRAM

    0下载:
  2. verilog语言对SDRAM读写时序的描述,采用状态机结构实现的读写功能-timing of the SDRAM read and write verilog language descr iption, a state machine structure to achieve read and write capabilities
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2603
    • 提供者:
  1. AD574_1

    0下载:
  2. verilog实现的“状态机实现AD574数模转换”-verilog to achieve a " state machine to achieve AD574 digital-analog conversion"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:917
    • 提供者:王先生
  1. ketflink_fsm

    0下载:
  2. VERILOG的按键去抖,采用状态机的实现方法-VERILOG shaking the keys to using a state machine implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1381
    • 提供者:雍振强
  1. softdrink_testbench

    0下载:
  2. 一种可应用于自动售货机的状态机的verilog HDL描述-Verilog HDL descr iption of a state machine used in vending machines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:1073
    • 提供者:pppp
  1. jiaotongdeng

    0下载:
  2. 这程序是利用状态机来控制交通灯verilog码-This procedure is the use of state machine to control the traffic lights verilog code
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:572529
    • 提供者:zeal
  1. c73a2ceb-09a5-4366-83ea-78b08c6200eb

    0下载:
  2. jtag TAP控制状态机代码 verilog VHDL-jtag TAP state machine code
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1738
    • 提供者:张涛
  1. statemachine

    0下载:
  2. 用verilog HDL实现状态机的设计-Verilog HDL make the state machine come true
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:113690
    • 提供者:华钦
  1. seqdet

    0下载:
  2. Verilog编写的有限状态机的程序,实现对一二进制序列的检测,该有限状态机提供8个状态的,可以任意修改,作为测试。-Verilog written procedures for finite state machines to achieve the detection of a binary sequence, the finite state machine with 8 states, and can be freely modified, as a test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6806
    • 提供者:大安
  1. EfficientSynthesizableFiniteStateMachineDesignusin

    0下载:
  2. 高效的同步有限状态机的设计,本代码详细的说明了如何设计高效和规范的fsm设计-Efficient Synthesizable Finite State Machine Design using NC-Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:100353
    • 提供者:terry
  1. FSMFundamentals

    0下载:
  2. Implementation of a Finite State Machine in Verilog !
  3. 所属分类:Development Research

    • 发布日期:2017-04-05
    • 文件大小:100280
    • 提供者:Danh
  1. state_mm

    0下载:
  2. 有限状态机源码,verilog语言编写。非常详细的示范了FSM状态机的编写。-Finite state machine source code, verilog language. A very detailed model of the FSM state machine preparation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:685
    • 提供者:王先生
  1. FSM

    0下载:
  2. 这是用verilog硬件描述语言编的moore状态机代码-It is compiled verilog hardware descr iption language moore state machine code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:607
    • 提供者:李松
  1. floatmul

    0下载:
  2. 用verilog实现三十二位浮点数算法,通过状态机的方法实现。-32 floating-point implementation using verilog algorithm, the method adopted by the state machine implementation.
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:788
    • 提供者:尹小怡
  1. Advanced_Verilog_Design

    0下载:
  2. 以Lattice 器伴为例,描述如何在Verilog中指定管脚属饪功能(OE,RESET,IO CELL寄存器,双向IO,Latch IO,管脚Pin number, synthesis属性,输出电气规格...),状态机的使用,及其它Verilog进阶功能-With Lattice devices for example, it describes how to specify the pin function in Verilog (OE, RESET, IO CELL register, b
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:127413
    • 提供者:Tim
  1. zuyuan

    0下载:
  2. 这是一个实现有限状态机的verilog编程的程序-This is a realization of finite state machine programming procedures verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:739916
    • 提供者:陈萍春
  1. zhuangtaiji

    0下载:
  2. 检测姓名序列的状态机。使用VERILOG编写。平台是QuartusII9.1。Cyclone -Detection of sequence state machine name. Prepared using VERILOG. Platform is QuartusII9.1. Cyclone III
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1168428
    • 提供者:海到无涯
« 1 2 3 4 5 67 8 9 10 11 12 »
搜珍网 www.dssz.com