CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Video VHDL

搜索资源列表

  1. cr_counter

    0下载:
  2. 视频图像的行列计数器基于VHDL的实现,已经调试仿真通过-Video images VHDL-based implementation of the ranks of the counter has been adopted debugging emulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:122875
    • 提供者:刘文英
  1. fjq3

    0下载:
  2. 提出了一种基于FPGA 的数字复接电路的设计方法, 将多路视频信息复用为一路信息, 利用光纤传输平台进行传输, 提高了传输效率和传输质量, 改善了环境适应性. 在AL TERA 公司 的Q uartu s II 软件平台下, 应用VHDL 语言进行硬件电路的设计, 并给出了仿真结果. 关键词 视频信息, FPGA , 数字复接, 光纤通信-Presents a FPGA-based digital multiplexing circuit design method, multi-c
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:157921
    • 提供者:renxiang
  1. fjq4

    0下载:
  2. 光纤通信以其损耗低、高带宽、抗电磁干扰、保密性好等优点越来越多地应用在视频通信中[1~ 5 ]. 针对 光纤综合业务传输平台, 本文提出了一种将三路视频信息复用为一路视频信息的数字复接器的硬件电路 设计. 提高了传输容量和传输效率, 达到抗干扰、抗噪声的能力, 从而改善了传输平台的环境适应性及工作 性能. 该复接器硬件电路的设计采用EDA 技术, 以FPGA 器件为载体, 使用VHDL 硬件描述语言进行电路-Optical fiber communication with its l
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:326117
    • 提供者:renxiang
  1. VGACTL

    0下载:
  2. VGA VHDL VIDEO CONTROLLER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:84548
    • 提供者:T#$$
  1. tron

    0下载:
  2. Tron game, a video game developed by VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1165687
    • 提供者:wanghao
  1. fj

    0下载:
  2. 从视频信号中分离出场同步、行同步、场消隐、行消隐等同步信号,用VHDL实现。-Separated from the video signal played simultaneously, line synchronization, field blanking, line blanking and other synchronous signals, using VHDL implementation.
  3. 所属分类:Other systems

    • 发布日期:2017-03-26
    • 文件大小:419648
    • 提供者:Logitech007
  1. Form1

    0下载:
  2. “文字格式”工具栏 “文字格式”工具栏- Visual Basic(7880) Visual C++(306) VBscr ipt(76) Others(73) WINDOWS(55) VBA(48) C-C++(42) MultiPlatform(39) ASP(38) Delphi(34) Java(30) CSharp(29) SQL(22) C++ Builder(22) Windows_Unix(20) CHM(16) WORD(14) C++(14) DOS(13) PDF(11
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-03-23
    • 文件大小:1595
    • 提供者:刘子龙
  1. videocode

    0下载:
  2. vhdl code for video graphic array
  3. 所属分类:Project Design

    • 发布日期:2017-04-15
    • 文件大小:6587
    • 提供者:balu
  1. Video_and_image_Processing

    0下载:
  2. FPGA开发板实现图像处理 该例子包含了SOPC和NIOS代码,同时有PDF说明-FPGA development board for image processing of the case includes SOPC and NIOS code, while a PDF descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4486451
    • 提供者:gdr
  1. vga_latest.tar

    0下载:
  2. VGA Driver in vhdl, control of RGB video secuence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:84492
    • 提供者:karlv
  1. videocomposer

    0下载:
  2. video composer in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:6477
    • 提供者:ankita
  1. caitiao

    0下载:
  2. 运用VHDL,Verilog语言编写的实现显示器显示彩条的硬件控制系统,下载到Virtex2Pro实验板FPGA上,外接显示器即可,相当于一个简单的显示卡驱动程序,不过是用纯硬件实现的-The use of VHDL, Verilog language to achieve color display of the hardware control system, downloaded to the FPGA board Virtex2Pro experiment, an external di
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2715350
    • 提供者:王瑞
  1. vgainterface

    0下载:
  2. VGA interface design by vhdl language and has been tested. it is useful for beginers of vhdl and video processing leaners!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:356659
    • 提供者:tsincons
  1. byzxin_RS232

    0下载:
  2. RS 232 interface vhdl language programme for video processing pcb board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1710425
    • 提供者:tsincons
  1. vhdl_pal.tar

    0下载:
  2. VHDL PAL video generating "library" and test usage
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:98517
    • 提供者:zz_indigo
  1. fpga-vga

    0下载:
  2. 本设计介绍了一种利用可编程器件FPGA,应用VHDL和Verilog两种语言实现VGA(video graphic array)图像控制器的设计方案,通过采用FPGA(Filed programmable Gate Array)芯片设计和VGA接口将要显示的数据直接送到显示器主要设计出一些重要图像的各个功能模块,并且通过系统仿真软件和FPGA硬件实验板来验证设计结果的正确性。 本设计首先对FPGA芯片和图像的显示原理以及VGA显示器的控制方法做了清晰的阐述,然后在此基础上使用FPGA设计V
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5575744
    • 提供者:郭晓阳
  1. Protel99SEshipin

    0下载:
  2. 运用protel工具,对电路进行vhdl的设计,本视频主要讲解protel的一些基本运用方法。-The protel vhdl design tools, the circuit, the video mainly to explain some of the basic application method protel.
  3. 所属分类:software engineering

    • 发布日期:2017-12-04
    • 文件大小:28697229
    • 提供者:pingzi
  1. Bssppartan3a

    0下载:
  2. 一种基于xilinx公司的FPGA开发板spartan3的一个用键盘控制制vga输出的vhdl源代码程序源码,能实现高清晰的视频输出. -Based xilinx company FPGA development board spartan3 of a keyboard control system vga output vhdl source code program source code, can achieve high-definition video output.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-13
    • 文件大小:4225
    • 提供者:对称
  1. VHDL_Complacated_divider_multiplier_technic

    0下载:
  2. Described as a way difficult to express in the language VHDL complicated point calculation method can be easily expressed in a FPGA-based 2 n wins to reference video signal YCbCr to RGB conversion will be created. This material has a lot of technic
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:2083
    • 提供者:jeong
  1. dasdaaa

    0下载:
  2. 、、: 请选择 Visual C++ Visual Basic DOS Unix_Linux C++ Builder Java Windows_Unix Delphi C-C++ PHP-PERL PHP Perl Python HTML Asm Pascal Borland C++ Others MultiPlatform C++ VFP SQL PDF TEXT WORD VBscr ipt Javascr ipt ASP CSharp CHM FlashMX matlab PowerBui
  3. 所属分类:software engineering

    • 发布日期:2017-11-20
    • 文件大小:37654
    • 提供者:jack
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com