CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - count

搜索资源列表

  1. count

    0下载:
  2. Event Count Regression
  3. 所属分类:Algorithm

    • 发布日期:2017-04-15
    • 文件大小:7600
    • 提供者:riag
  1. count

    0下载:
  2. This program copies BCD data to four 7-segment displays driven by a MC14499 serial display driver. A 4-digit count is copied at a rate of 10 Hz. The interface uses the 68681 DUART to drive the MC1-This program copies BCD data to four 7-segment displa
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:1782
    • 提供者:izzeldin
  1. the-count-of-PC

    0下载:
  2. 基于单片机的计数器、频率计之类的文件,希望对大家有所帮助。-it based on the count of the PC,I hope it can help you!
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:74966
    • 提供者:lsl
  1. Four-controllable-counter

    0下载:
  2. 功能是(用Verilog语言的,内有比较详细的注释): (1)计数器的功能是从0到9999计数,并能以十进制数的形式在七段数码管上显示出来(包括七段数码管显示模块). (2)该计数器有一个1个nclr和一个adj_plus端,在控制信号的作用下(见下表),计数器具有复位、增或减计数、暂停的功能。编写以上的程序的完整模块. 计数器的功能表 nclr adj_minus 功 能 0 0 复位为0 0 1 递增计数 1 0 递减计数 1 1 暂停计数 -Functi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1459783
    • 提供者:mowensui
  1. taxi-count-price

    0下载:
  2. 这篇论文的实用性很强,对于实际的出租车的需要而来设计的,对于将来开发更完善的出租车计费功能有很大的帮助-the paper have much praticatity,to design the paper for real taxi need,it is very useful for design more system to taxi count price
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:331081
    • 提供者:张建
  1. Perak-count-implementation-

    0下载:
  2. 矩阵键盘计数执行霹雳灯,带仿真的图,很不错的哦!-Perak count implementation matrix keyboard light, with a simulation of the map, very good oh!
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:147246
    • 提供者:小吴
  1. count.tar

    0下载:
  2. 提取代码的代码行数,用于软件可靠性测试,对于提高确定软件可靠性及发行时间有个可靠的依据-the program is used to abstract the fecture of other program such as the count of the line
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-02
    • 文件大小:623
    • 提供者:xiaojingjing
  1. count

    0下载:
  2. 开发环境为KEIL+PROTEUS 实现6位频率计数,6位共阴数码管显示。带有仿真图和源代码-KEIL+ PROTEUS development environment for the realization of six frequency count, six common cathode LED display. Diagrams and source code with a simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:320806
    • 提供者:李生
  1. count

    1下载:
  2. 吉大短学期CPLD实习程序 可逆10 进制计数器,用1 位拨码开关进行加减控制:输入为0 时进行加计数,当输入为1 时进行减计数;用1 位拨码开关进行同步清零控制:输入为0 时清零,输入为1时正常计数。计数结果用数码管显示-Chittagong short term internship program CPLD reversible binary counter 10, with an addition and subtraction DIP switch control: when th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-14
    • 文件大小:139264
    • 提供者:吴琦轩
  1. car-count

    0下载:
  2. 基于动态模板检测运动物体,检测高速公路上每个车道上通过车辆数目-Detection of moving objects based on dynamic template, testing each lane on the highway by the vehicle titles
  3. 所属分类:Graph Recognize

    • 发布日期:2017-04-04
    • 文件大小:30477
    • 提供者:飞鸟
  1. count

    0下载:
  2. 1.用VHDL设计具有清除端、使能端,计数范围为0~999的计数器,输出为8421BCD码; 2.用VHDL设计十进制计数器(BCD_CNT)模块、七段显示译码器电路(BEC_LED)模块和分时总线切换电路(SCAN)模块。 3.用MAX+plusⅡ进行时序仿真。 -1. VHDL design with a clear end to end so that the count range of 0 to 999 in the counter, the output is 8421B
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:208546
    • 提供者:小白
  1. cell-count

    0下载:
  2. 本程序使用C语言进行编程,实现对血液当中红细胞的计数功能。附有资料及应用程序。很有借鉴意义。-This program USES C programming language, to achieve the count function of blood red, With material and application.It is of great significance
  3. 所属分类:Algorithm

    • 发布日期:2017-04-07
    • 文件大小:781963
    • 提供者:Sunny
  1. vinaora_visitors_counter_2.0

    0下载:
  2. joomla count visitor
  3. 所属分类:Other systems

    • 发布日期:
    • 文件大小:381472
    • 提供者:chomnit
  1. I-count-math-score

    0下载:
  2. 数学口算记分.b程序课题,希望对各位有用 -I count math score. B process issues, seek to help
  3. 所属分类:Algorithm

    • 发布日期:2017-05-11
    • 文件大小:2217473
    • 提供者:军军
  1. count

    0下载:
  2. visual C++开发环境下实现count编程-visual C++ of count
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:18370
    • 提供者:weiqian
  1. visit-count-javascript

    0下载:
  2. this visit count javascr ipt. -this is visit count javascr ipt.
  3. 所属分类:JavaScript

    • 发布日期:2017-04-03
    • 文件大小:1027
    • 提供者:sush
  1. topological-sort-count

    0下载:
  2. 本程序使用C语言来实现拓扑排序算,希望对别人有帮助!-This program uses the C language to implement topological sort count, want to help others!
  3. 所属分类:Data structs

    • 发布日期:2017-04-01
    • 文件大小:1257
    • 提供者:代伟
  1. code2

    0下载:
  2. try to remove the white blood cell and after that i try to segment and count the red blood ce-try to remove the white blood cell and after that i try to segment and count the red blood cell
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:824
    • 提供者:asraf
  1. Use-the-STM32-timer-

    2下载:
  2. 使用STM32的定时器进行输入脉冲的计数代码-Use the STM32 timer carries on the count of input pulses code
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-24
    • 文件大小:244476
    • 提供者:陈永亮
  1. count

    0下载:
  2. 模可变计数器设计 (1) 设计设置一位控制位M,要求M=0:模23计数;M=1:模109计数。 (2) 计数结果用静态数码管显示,显示BCD码。 (3) 给出此项设计的仿真波形 -Variable counter mold design (1) design set a control bit M, requires M = 0: mode 23 count M = 1: mode 109 count. (2) counts with static digital dis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3606
    • 提供者:guo
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com