CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld

搜索资源列表

  1. FPGA-CPLD

    0下载:
  2. FPGA/CPLD设计经验分享,数字电路设计中的经典问题分析,很实用。-FPGA/CPLD design experience sharing, digital circuit design of the classic analysis, it is practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:967028
    • 提供者:蒋西
  1. cpld

    0下载:
  2. EDA工具安装调试和下载程序的说明文档,它主要有国内外最常用的芯片的烧写说明。-EDA tools installation and download the documentation, it is mainly the most common chip domestic and international programming instructions.
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:344849
    • 提供者:pengjun
  1. cpld

    0下载:
  2. cpld 入门基础 仅针对初学者 望各位童鞋们指导 呵呵 -cpld entry basis only for beginners looking to guide their children' s shoes Oh you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:6157
    • 提供者:郭坤平
  1. CPLD-based

    0下载:
  2. 基于CPLD的数控机床控制系统CPLD-based control system of CNC machine tools-CPLD-based control system of CNC machine tools
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:250685
    • 提供者:manapp
  1. Use-CPLD--and-51MCU

    0下载:
  2. 用CPLD实现单片机与ISA总线接口的并行通信-Microcontroller with CPLD and ISA bus interface parallel communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:87090
    • 提供者:809089685
  1. CMI

    0下载:
  2. 基于CPLD的VHDL语言的CMI编码程序-CPLD based on VHDL coding procedures CMI
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:140068
    • 提供者:506she
  1. Xilinx-CPLDkaifaban

    0下载:
  2. Xilinx-CPLD开发板CPLD开发套件说明书-Xilinx-CPLD development board CPLD Development Kit manual
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-04
    • 文件大小:1433679
    • 提供者:huguangzhou
  1. CPLD

    0下载:
  2. CPLD芯片资料及编程入门学习及开发应用-CPLD Starter study and application of information
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-10
    • 文件大小:2080989
    • 提供者:LIXINGHAI
  1. fifo_vhdl

    0下载:
  2. 基于fpga,cpld的异步FIFO的设计 用VHDL语言进行相关的功能模块设计-Based on fpga, cpld design of asynchronous FIFO associated with VHDL design modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:13204
    • 提供者:站长
  1. Digital-Design-with-CPLD-Part1

    0下载:
  2. Digital Design with CPLD Part1 PDF document with examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:46150656
    • 提供者:Christoffer
  1. CPLD-FPGA-project-doesnt-fit

    0下载:
  2. CPLD/FPGA编译时提示“project doesn t fit! do you wish to override some existing settings and/or assignments?解决方法-CPLD/FPGA编译时提示“project doesn t fit! do you wish to override some existing settings and/or assignments?”
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:471978
    • 提供者:李文强
  1. CPLD

    0下载:
  2. 系统级的CPLD实验指导书,包括众多简单例子。-System-level test instructions CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1389129
    • 提供者:XiaoY
  1. CPLD-8051-Micorcontroller-Interface

    0下载:
  2. CPLD 与8051总线接口设计,XILINX参考设计-CPLD and 8051 bus interface, XILINX reference design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:165600
    • 提供者:mend
  1. Electronics---Digital---Cpld-And-Fpga---Fpgasigne

    0下载:
  2. Fpga Cpld Quick Start Guide with "Altium Designer"
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1101053
    • 提供者:green_boy
  1. davincihd_revf_ver6-cpld

    0下载:
  2. DM6467 demo cpld源码,VHDL预研-DM6467 cpld src
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:450591
    • 提供者:lanbow
  1. ALTERA-CPLD

    0下载:
  2. ALTERA CPLD实验系统用户手册,为初学者提供硬件开发系统介绍学习-ALTERA CPLD experiment system user manual For beginners to provide hardware development system study
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:110760
    • 提供者:范珊珊
  1. CPLD

    0下载:
  2. 使用CPLD扩展I / O,控制所有输入和输出引脚读写操作,以及对各片选信号的控制。-The CPLD is used to expand the I/O control read and write control of all input and output pins, as well as the chip select signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1731
    • 提供者:Jesson
  1. CPLD

    0下载:
  2. CPLD开发板学习资料(包含完整原理图,使用说明和测试程序)-CPLD development board to learn the information (Figure contains the full principles, instructions for use and testing procedures)
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:319246
    • 提供者:BOLTON
  1. CPLD-Three-voting

    1下载:
  2. CPLD/FPGA 设计实例手册 用VHDL语言设计三人表决器 用原理图输入的方式设计三人表决器 用verilog-HDL语言设计三人表决器-CPLD/FPGA design example manual Three of the voting machine VHDL language Schematic design of a three-member voting Verilog-HDL language design three-member voti
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2754133
    • 提供者:叶子
  1. cpld

    0下载:
  2. 四通道数据采集卡 cpld部分 主控程序-cpld program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:183303
    • 提供者:邢通
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 50 »
搜珍网 www.dssz.com