CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld fpga

搜索资源列表

  1. hdb3 decoder

    0下载:
  2. 我上期做的VHDL设计方案,用于在FPGA或CPLD中实现HDB3的编码-I do view on the VHDL design options for the CPLD or FPGA to achieve HDB3 code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:119678
    • 提供者:王薇
  1. 同有SPI接口的器件进行通信对SPI接口器件的读写控制vhdl源程序

    0下载:
  2. vhdl实现spi可以同有SPI接口的器件进行通信对SPI接口器件的读写控制vhdl源程序,fpga cpld-vhdl spi can achieve devices with a SPI interface to communicate with devices on the SPI interface to read and write vhdl source code control
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:5759
    • 提供者:站长
  1. MAXplusIICrack.rar

    0下载:
  2. MAX+plus II FPGA CPLD开发软件完美无限制破解版,MAX+ plus II FPGA CPLD development software cracked unlimited version of the perfect
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:133987
    • 提供者:吴玉保
  1. FPPG_CPLD_VHDL

    0下载:
  2. Polish documentation of FPGA, CPLD and VERILOG. Many examples and datasheets.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:25294636
    • 提供者:mrtip
  1. IntroductiontoCPLDandFPGADesign

    0下载:
  2. Introduction to CPLD and FPGA Design
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:670215
    • 提供者:medamine
  1. CMI

    0下载:
  2. 基于FPGA/CPLD的CMI编解码设计,含程序说明及仿真截图。-Based on FPGA/CPLD' s CMI codec design, including descr iption of the procedures and simulation screenshot.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:17063
    • 提供者:李逊
  1. debounce_2_Verilog

    0下载:
  2. 用VerilogHDL编写的按键消抖程序 分频产生100Hz的按键采样时钟,采样时钟周期为10ms, 按键按下后,产生时间为10ms的低电平信号,即LED亮10m-*Project Name :debounce *Module Name :debounce *Target Device :Any Altera FPGA/CPLD Device *Clkin : 50MHz *Desisgner : zhaibin *Date : 2011-11-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:430472
    • 提供者:ZB
  1. qq2

    0下载:
  2. Xilinx FPGA(CPLD) 下载电缆 原理图 -Xilinx FPGA (CPLD) download cable schematics Xilinx FPGA (CPLD) download cable schematic
  3. 所属分类:source in ebook

    • 发布日期:2017-04-05
    • 文件大小:18420
    • 提供者:古一
  1. 8237

    0下载:
  2. 关于vhdl对硬件接口8237的编程,可以在进行fpga/cpld设计是作为模块用到-VHDL for the hardware interface on the 8237 programming, you can carrying out fpga/cpld design is used as a module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:207924
    • 提供者:tao lan
  1. FPGA_CPLDdesign

    0下载:
  2. 详细介绍了FPGA和CPLD的初级入门内容,是很好的FPGA电子书。-Described in detail the primary FPGA and CPLD portal content, e-books is a good FPGA.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:193035
    • 提供者:董伟超
  1. vhdl100

    0下载:
  2. 有助于FPGA/CPLD学习,提高实战水平-Contribute to FPGA/CPLD study and improve the level of actual combat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:328565
    • 提供者:cuncaoxin
  1. SM8958_source_V3.0

    1下载:
  2. 单片机读写SD MMC CARD 源码,可以解决CPLD或FPGA模拟SDIO的麻烦-SD MMC CARD Singlechip source to read and write, can solve the CPLD or FPGA simulation SDIO trouble
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:165385
    • 提供者:luoxiaoping
  1. FPGA_CPLD

    0下载:
  2. 对fpga和cpld进行深入研究,并且针对这两者的不同结构进行的详细阐述-FPGA and CPLD to conduct an in-depth research, and for these two different structures of detailed descr iption of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:205052
    • 提供者:yfas
  1. xulie

    0下载:
  2. FPGA或CPLD与DAC(DAC0800),产生一个序列检测器。-FPGA or CPLD with the DAC (DAC0800), produce a sequence detector.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:15466
    • 提供者:黄明
  1. VerilogHDL

    0下载:
  2. 用于FPGA和CPLD编译的VerilogHDL书-For FPGA and CPLD book compiled VerilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4170275
    • 提供者:李鹏飞
  1. REACH

    0下载:
  2. 基于VHDL的异步串行通信电路设计 随着电子技术的发展,现场可编程门阵列FPGA和复杂可编程逻辑器件CPLD的出现,使得电子系统的设计者利用与器件相应的电子CAD软件,在实验室里就可以设计自己的专用集成电路ASIC器件。这种可编程ASIC不仅使设计的产品-VHDL-based asynchronous serial communication circuit design with the advent of electronic technology, field programmable g
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1701
    • 提供者:chaiyiming
  1. VHDLdanpianji

    0下载:
  2. 本文首先对MCS8051单片机的原理进行介绍和分析;接着介绍使用EDA技术,用VHDL语言完成了8051单片机的设计工作;MCS8051单片机的CPU和数模转换器的设计运用了算术逻辑单元ALU算术运算的算法实现和控制单元的状态机;以及数模转换器的∑-△调制方法的实现。通过如上的算法实现,可以看出VHDL语言在算法级的设计上具有很多的优势和特点。使用EDA技术设计的结果既可以用FPGA/CPLD来实施验证,也可以直接做成专用集成电路(ASIC)。-VHDL
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:255292
    • 提供者:li
  1. Design_of_Traffic_Light_Control_System_Base_on_FPG

    1下载:
  2. 用VHDL 语言设计交通灯控制系统, 并在MAX+PLUS II 系统对FPGA/ CPLD 芯片进行下载, 由于生成的是集成化的数字电 路, 没有传统设计中的接线问题, 所以故障率低、可靠性高, 而且体积小。体现了EDA 技术在数字电路设计中的优越性。-The design method of traffic light control system by using Very- High- Speed Integrated Circuit Hardware Descr iption La
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:73396
    • 提供者:li
  1. Verilog_PPT

    0下载:
  2. 东南大学Verilog讲义 Verilog 语言作为CPLD和FPGA开发语言,比VHDL相比有更多的优势.-Southeast University Verilog notes Verilog language as CPLD and FPGA development language than VHDL have more advantages in comparison.
  3. 所属分类:software engineering

    • 发布日期:2017-05-02
    • 文件大小:593959
    • 提供者:WP
  1. add128

    1下载:
  2. 128位的地址译码器,在cpld或者fpga上实现兼可-128-bit address decoder, in the CPLD or FPGA implementation and may
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2014-04-23
    • 文件大小:806
    • 提供者:王石子
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 27 »
搜珍网 www.dssz.com