CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld fpga

搜索资源列表

  1. i2c_in_cpld

    0下载:
  2. 用CPLD实现I2C接口,可以移植到FPGA中-Achieved with the CPLD I2C interface can be ported to FPGA,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:24026
    • 提供者:kele
  1. AD7656

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:309923
    • 提供者:王玉强
  1. Verilogjiaocheng

    0下载:
  2. fpga/cpld verilog教程精彩-fpga/cpld verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4168992
    • 提供者:王品一
  1. fsh

    0下载:
  2. 这是我的毕业可用8位的LED显示,有小数点的。设计哦,可以用的。可供参考-VHDL-based digital frequency meter With the rapid development of electronic technology, FPGA/CPLD appear in its high-speed, high reliability, series parallel mode of outstanding merit widely used in the electronic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4354498
    • 提供者:战魔
  1. cpldfpga

    0下载:
  2. cpld 与 fpga的区别 详细的介绍了其主要不同特点-cpld and the difference between fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:13158
    • 提供者:richard
  1. KP5509ADPsch

    0下载:
  2. 对于学习CPLD和FPGA,自己想画电路板,并学习VHDL硬件语言很有帮助-For learning CPLD and FPGA, they want to draw the circuit board, and learn helpful hardware language VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1750287
    • 提供者:shanying
  1. FPGAandCPLDentry-leveldetailedstudymaterials

    0下载:
  2. fpga和cpld入门级详细的学习资料,内容很详细很全面。非常实用。-entry-level fpga and cpld detailed study information, the content is more comprehensive. Very useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9080591
    • 提供者:徐小明
  1. yt7132_clock

    1下载:
  2. 用VHDL语言编写的12/24小时时钟,利用EDA系统软件QuartusII环境下基于FPGA/CPLD的数字系统设计方法-VHDL language with the 12/24 hour clock, the use of EDA software QuartusII environment based on FPGA/CPLD design of digital system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2105880
    • 提供者:Cherry
  1. A-VHDL-Primer---Bhasker

    0下载:
  2. VHDL exaples project from CPLD or FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1108249
    • 提供者:Aleks
  1. VerilogHDL_Emample

    0下载:
  2. 其他说明: 文中实例基本都不依赖实际具体的硬件,可以在任何厂家任何系列的FPGA/CPLD下综合使用(如Altera等,只要资源充足),还可以利用Synoposy公司的工艺库影射到ASIC,完全可以当作软IPCore使用。 -Other notes: the text does not rely on practical and concrete examples of basic hardware, manufacturers of any series in any of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:696918
    • 提供者:飞狼
  1. VHDL-based-taxi-meter

    0下载:
  2. 本源码介绍了一种出租车计价器的设计方案,并且是基于VHDL语言,可以轻松在FPGA/CPLD上实现-This source presents a taxi meter design, and is based on the VHDL language, you can easily in the FPGA/CPLD to realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:4484
    • 提供者:helong
  1. MUXplus2

    0下载:
  2. Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。本资源分七节内容详细的讲解了MUX+PLUSⅡ软件的操作及应用。-Altera Max+ plus Ⅱ is provided by FPGA/CPLD development integration environment, Max+ plus Ⅱ friendly interface and easy to use, known as the ED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1069646
    • 提供者:vanrry
  1. CPLDFPGAprog

    0下载:
  2. vdhl programming notes for cpld and fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:527099
    • 提供者:supastrikas
  1. 10-jinzhi-counter

    0下载:
  2. 10进制计数器 每计数十次有一个进位,是vhdl编程的基础程序,应用于fpga cpld可编程逻辑器件-Total scores of 10 binary counter has a per carry, is the basis for vhdl programming procedures used in programmable logic devices fpga cpld
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:638
    • 提供者:zhaohong
  1. 15-jinzhi-counter

    0下载:
  2. 15进制计数器 每计数十五次有一个进位,是vhdl编程的基础程序,应用于fpga cpld可编程逻辑器件-Fifth decimal counter 15 counts each have a carry, is the basis for vhdl programming procedures, programmable logic devices used in fpga cpld
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:651
    • 提供者:zhaohong
  1. Altera-FPGA_CPLD

    0下载:
  2. FPGA CPLD 高级篇 教你怎么编verilog-FPGA CPLD senior articles teach you how to compile verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22296786
    • 提供者:卡卡
  1. CPLDMod2

    0下载:
  2. CCD 驱动控制程序 基于CPLD 多项执行-CCD drive control program is based on a number of FPGA implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19812290
    • 提供者:晓dawn
  1. FIR_filter

    0下载:
  2. Parallel FIR filter example. It is low-pass filter for CPLD or FPGA platforms. Project compiled and simulated in Modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:237251
    • 提供者:Serg
  1. vgaverilog

    1下载:
  2. 本程序实现了基于FPGA/CPLD的VGA显示设计,简单易懂,可以输出8种颜色,即3位RGB颜色,共8种组合。连接FPGA的VGA口和液晶等显示器即可观察实验现象。-This procedure implemented based on FPGA/CPLD' s VGA display design, easy to understand, you can output 8 colors, the three RGB colors, a total of 8 combinations. FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-23
    • 文件大小:373896
    • 提供者:张扬
  1. Linux-driver-development2

    0下载:
  2. 作者:华清远见嵌入式学院。《Linux设备驱动开发详解》(08&09年度畅销榜TOP50)第2章、驱动设计的硬件基础。本章讲解底层驱动工程师必备的硬件基础,给出了嵌入式系统硬件原理及分析方法的全景视图。2.1节讲解微控制器、微处理器、数字信号处理器以及应用于特定领域的处理器各自的特点。2.2节对嵌入式系统中所使用的各类存储器与CPU的接口、应用领域及特点进行了详细讲解。2.3节讲解常见的外设接口与总线的工作方式,包括串口、I2C、USB、以太网接口、ISA、PCI和cPCI等。嵌入式系统硬件电路
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-29
    • 文件大小:866709
    • 提供者:华清远见
« 1 2 ... 15 16 17 18 19 2021 22 23 24 25 26 27 »
搜珍网 www.dssz.com