CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu,VHDL

搜索资源列表

  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45195
    • 提供者:刘建
  1. booth_mul

    2下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols / unsigned multiplication of the number of binary multipliers. The multiplier used to impr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19758
    • 提供者:李鹏
  1. addch1

    0下载:
  2. 用vhdl语言设计CPU中的一部分:加法器的设计,包括多种加法器的设计方法!内容为英文-design using VHDL language part of the CPU : Adder design, Adder including multiple design! As for the English
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:392983
    • 提供者:qindao
  1. mul6

    0下载:
  2. 用vhdl语言设计CPU中的一部分:乘法器的设计,包括多种乘法器的设计方法!内容为英文-design using VHDL language part of the CPU : multiplier design, Multiplier including multiple design! As for the English
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:463599
    • 提供者:qindao
  1. MCUDesign

    0下载:
  2. 《Digital Logic And Microprocessor Design With VHDL》,CPU设计经典参考书-"Digital Logic And Microprocessor Design With VHDL, "CPU design classic reference books
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:4816109
    • 提供者:hanberg
  1. cpuTerminate

    0下载:
  2. 用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。-use VHDL to prepare a 16 cpu design of the program, the implementation of eight instructions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2109040
    • 提供者:宋文强
  1. CPU_use

    0下载:
  2. 使用VHDL语言编写的简单8位流水线CPU 它有六级流水功能,通过仿真 可以下载到实验箱,也有波形仿真-use VHDL to prepare a simple eight pipelined CPU it has six functional water, Simulation experiments can be downloaded to the box, a waveform simulation
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1531447
    • 提供者:邮件
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. 8051core

    0下载:
  2. 基于vhdl的51内核的程序设计,可以进行编译,稍微修改就可以成为自己订制的软cpu.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1148310
    • 提供者:贾晓东
  1. poc

    0下载:
  2. 用VHDL编写的简单POC(并行输出控制)程序,可以实现CPU以及外设之间的接口功能
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:844057
    • 提供者:匡木
  1. cpu110

    0下载:
  2. 基本功能的cpu,自定义内存内容~了解CPU运作原理~-design of cpu,VHDL environment~
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-04-12
    • 文件大小:1437
    • 提供者:uweizhi7
  1. CPU_Design

    0下载:
  2. 基于VHDL的CPU的设计,本科课程设计,实现了一个指令集,能计算加减乘。-CPU design VHDL-based undergraduate curriculum design and implementation of a set of instructions, subtraction, multiplication, can be calculated.
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1952045
    • 提供者:
  1. VHDL

    0下载:
  2. 用VHDL写的模拟cpu程序,可以下载到硬件完成仿真,东南大学课程设计- Written in VHDL simulation CPU program, you can download to the hardware simulation, Southeast University curriculum design
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-11
    • 文件大小:2678520
    • 提供者:戴娜
  1. MCPU

    1下载:
  2. 多周期CPU的verilog代码,用vivado可以仿真出波形(multi-cycle CPU by verilog and using vivado to simulate.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-11
    • 文件大小:5876335
    • 提供者:Lsinger
  1. cpu_VHDL

    0下载:
  2. vhdl 编写的cpu 代码, 详细说明了各个部分的功能及所有对应的代码,对cpu架构的学习和vhdl 编程有很大帮助(vhdl code for simple CPU)
  3. 所属分类:书籍源码

    • 发布日期:2018-01-02
    • 文件大小:1000448
    • 提供者:bigcat1977
  1. CPU_16bit

    0下载:
  2. 一个五段流水的16位cpu vhdl源码,可综合也可仿真(A five section of the 16 bit CPU VHDL source code, can be integrated can also be simulated)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:3410944
    • 提供者:sunrihui
  1. cpu2

    0下载:
  2. 基于vhdl语言的cpu模拟,包含仿真,含所有器件(CPU containing simulation based on VHDL language)
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:7079936
    • 提供者:qqyi1198
  1. uart_design

    0下载:
  2. UART设计的VERILOG代码,具有FIFO功能,能实现CPU与外设之间的数据与指令通信(The VERILOG code designed by UART, which has the function of FIFO, can realize the communication between the data and the instruction between the CPU and the peripherals)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:547840
    • 提供者:沐羽1996
  1. cpuzl

    0下载:
  2. 实现18位操作指令实现PC指针的变化,及得到对应地址的操作指令(Implement 18 bit operation instructions to realize change of pointer and obtain operation instructions corresponding to corresponding address)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:8635392
    • 提供者:ltfy咖啡
  1. ccsuemupc条件跳转(1)

    1下载:
  2. 设计一个模型机,具体设计要求如下: (1)设计指令系统,要求有取数指令、加法指令、跳转指令、停机指令等 (2)设计指令格式、微指令格式 、微程序 、时序电路 、数据通路,完成cpu的设计。 (3)利用模块化设计,分别设计存储器模块、运算器模块、时序电路模块、微程序控制器模块、显示模块等,最后进行系统的顶层设计,完成复杂模型机的设计与实现测试 (4)根据任务,完成主程序的设计,同时把主程序翻译成目标代码,写入主存,仿真下载测试。(Design a model machine, th
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-11
    • 文件大小:1189888
    • 提供者:12332122
« 1 2 ... 4 5 6 7 8 910 11 12 »
搜珍网 www.dssz.com