CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu vhdl

搜索资源列表

  1. RISC-CPU-

    0下载:
  2. 用VHDL语言实现32位CPU的各种运算功能,熟悉32位CPU各模块的工作原理,熟悉流水线数据通路和控制单元的工作原理从而熟悉CPU的工作机理。-Mac circuit realization
  3. 所属分类:OS Develop

    • 发布日期:2017-05-29
    • 文件大小:11710264
    • 提供者:卓丽媛
  1. cpu

    0下载:
  2. 用vhdl实现了具有流水的cpu,实现30条基于mips指令的指令集-Achieved with vhdl cpu with water, to achieve 30 mips instruction based instruction set
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:816054
    • 提供者:梁立建
  1. CPU

    0下载:
  2. 使用vhdl实现一个简易的cpu包含and or xor add sub mul 指令-Achieved using a simple vhdl cpu contain and or xor add sub mul instruction
  3. 所属分类:Other systems

    • 发布日期:2017-05-23
    • 文件大小:7573248
    • 提供者:张炜炜
  1. CPU_VHDL

    0下载:
  2. 这是实现一个8位和16位cpu的VHDL代码,虽然支持的指令比较少只有20多条,但对于学习CPU的布线架构很有帮助-This is achieved by an 8-bit and 16-bit cpu VHDL code, although only a relatively small instruction support more than 20, but for learning routing architecture CPU helpful
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-08
    • 文件大小:1965454
    • 提供者:SamJohnKing
  1. CPU

    0下载:
  2. 简易CPU设计 利用VHDL编写。包含一个可以用于检验的LPM-RAM-DQ-CPU-design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:297099
    • 提供者:lxd
  1. cpu-design

    0下载:
  2. 采用VHDL实现的CPU设计代码,工程中包含测试波形。包含CPU设计文档,如指令格式设计和各功能模块说明和指令测试序列,能下载到实验台上直接运行。-CPU design is realized by VHDL Language, the project contains the test waveform. Contains the CPU design documents, such as directives format, instructions for each function mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1600147
    • 提供者:yuuuuuu
  1. cpu

    0下载:
  2. 基于VHDL的简易CPU设计,可以实现加、减、乘三种运算,模拟CPU的运算过程通过指令实现运算-Simple CPU design based on VHDL, three operation can realize add, subtract, multiply, simulation of the CPU operation process operation was achieved by instruction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1116741
    • 提供者:llx
  1. CPU

    0下载:
  2. 运用vhdl硬件描述语言在quartus II开发环境下独立设计与实现了基于精简指令集的五级流水线CPU的设计与实现。该流水CPU包括:取指模块,译码模块,执行模块,访存模块,写回模块,寄存器组模块,控制相关检测模块,Forwarding模块。该CPU在TEC-CA实验平台上运行,并且通过Debugcontroller软件进行单步调试,实验表明,该流水线CPU消除了控制相关、数据相关和结构相关。-Using vhdl hardware descr iption language developm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:822262
    • 提供者:wang
  1. CPU

    0下载:
  2. 我是2014级复旦的研究生。这是一个8位的CPU设计VHDL实现。本CPU基于RISC架构,实现了cpu的基本功能如:加减乘除运算,跳转等。此外,里面有一个17位的ROM区,是存储指令的。你可以写出一段17位的指令代码,并放入ROM区,该CPU即可自动运行出结果。压缩包里是源代码和我们当时的设计要求。本源代码的最后调试时在地址0 17是放入的斐波纳契数字(Fibonacci Numbers)指令。通过modelsim仿真即可看到结果。-I am a 2014 graduate of Fudan
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:520165
    • 提供者:ljt
  1. CPU1

    0下载:
  2. 一个简单的多周期的基于MIPS的CPU设计-cpu VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-05-02
    • 文件大小:719626
    • 提供者:碧水清荷
  1. CPU

    0下载:
  2. a very useful vhdl source code for simulation and test the parwan cpu navabi vhdl book-a very very useful vhdl source code for simulation and test the parwan cpu navabi vhdl book
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:189678
    • 提供者:a
  1. CPU

    0下载:
  2. 使用QuartusII软件,利用VHDL语言设计实现CPU,其中包含时序图仿真。-Using software QuartusII, using VHDL language to design the CPU, which contains sequence diagram simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2550057
    • 提供者:段绍丽
  1. 8bit-cpu

    0下载:
  2. VHDL由简单存储器,计数器等搭建最终实现8位的cpu设计-VHDL realization 8 of cpu design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:13276
    • 提供者:ZY
  1. cpu

    0下载:
  2. vhdl实现处理器基本功能,不包括流水线-VHDL to achieve the basic functions of the processor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3563
    • 提供者:王先生
  1. CPU

    0下载:
  2. 计算机组织与结构课程设计,使用VHDL设计一个简单功能的CPU。该CPU拥有基本的指令集,并且能够使用指令集运行简单的程序。另外,CPU的控制器部分(CU)采用微程序设计方式。-The purpose of this project is to design a simple CPU (Central Processing Unit). This CPU has basic instruction set, and we will utilize its instruction set to g
  3. 所属分类:Other systems

    • 发布日期:2017-05-23
    • 文件大小:7415937
    • 提供者:马晨
  1. cpu110

    0下载:
  2. 基本功能的cpu,自定义内存内容~了解CPU运作原理~-design of cpu,VHDL environment~
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-04-12
    • 文件大小:1233
    • 提供者:bs00buao2
  1. cpu110

    0下载:
  2. 基本功能的cpu,自定义内存内容~了解CPU运作原理~-design of cpu,VHDL environment~
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-04-12
    • 文件大小:1437
    • 提供者:uweizhi7
  1. lab03-.tar

    0下载:
  2. vhdl about 3 stage control block of cpu-vhdl control block of the 3 stage cpu(FSM)
  3. 所属分类:Other systems

    • 发布日期:2017-05-01
    • 文件大小:288294
    • 提供者:anna
  1. cpu

    0下载:
  2. 一个简单实现的cpu,采用vhdl编写,适合学生学习-a simple cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1775373
    • 提供者:梁武恒庆
  1. VHDL

    0下载:
  2. 用VHDL写的模拟cpu程序,可以下载到硬件完成仿真,东南大学课程设计- Written in VHDL simulation CPU program, you can download to the hardware simulation, Southeast University curriculum design
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-11
    • 文件大小:2678520
    • 提供者:戴娜
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 21 »
搜珍网 www.dssz.com