CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - filter VHDL

搜索资源列表

  1. median

    0下载:
  2. 中值滤波的实现,该代码使用的是verilog 语言 module median(clk,reset,load,din,mult,dout,over,a3,b3,c3,a2,b2,c2,a1,b1,c1)-Median filter implementation, the code using verilog language module median (clk, reset, load, din, mult, dout, over, a3, b3, c3, a2, b2, c2, a1,
  3. 所属分类:Project Design

    • 发布日期:2015-07-05
    • 文件大小:2305
    • 提供者:刘文英
  1. butterfly2

    0下载:
  2. This VHDL code for butterfly filter banks-This is VHDL code for butterfly filter banks
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:149642
    • 提供者:Marija
  1. Verilog

    0下载:
  2. 全加器的Verilog 实现代码 寄存器的Verilog 实现代码-Low-pass filter integral part of full-adder and register the Verilog implementation code
  3. 所属分类:Energy industry

    • 发布日期:2017-04-02
    • 文件大小:3398
    • 提供者:田静
  1. MatchFilter

    1下载:
  2. VHDL语言实现8路并行输入,8路并行输出,直接序列扩频接收机的高速匹配滤波。 -VHDL language to achieve 8-channel parallel input, 8-channel parallel output, high-speed direct-sequence spread spectrum matched filter receiver.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7990543
    • 提供者:袁磊
  1. Desktop

    0下载:
  2. DDS数字频率合成DDS由相位累加器、正弦查找表、D/A转换器和低通滤波器组成 -DDS DDS DDS from the phase accumulator, sine look-up tables, D/A converter and low-pass filter composed of
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:1256
    • 提供者:chenxiaofeng
  1. fir1

    0下载:
  2. this file consists of simple FIR filter designed with the fixed coefficients
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:982
    • 提供者:bharat kumar
  1. iir

    1下载:
  2. IIR50HZ的数字陷波器的FPGA实现-IIR50HZ digital notch filter implementation in FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-04-10
    • 文件大小:470690
    • 提供者:kudding
  1. PN_code_capture_and_tracing

    0下载:
  2. 一个完整的pn码捕获与跟踪的VHDL源码,并行匹配滤波器捕获,锁相环跟踪.-A complete pn Code Acquisition and Tracking of the VHDL source code, parallel matched filter to capture, phase-locked loop tracking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2099
    • 提供者:王永俊
  1. fir_9222_sopc

    0下载:
  2. 基于sopc技术的数字均衡器带通滤波器及12864液晶显示-Sopc technology-based digital equalizer band-pass filter and liquid crystal display 12864
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5911361
    • 提供者:z
  1. IIR

    0下载:
  2. 实验说明: 本次实验实现一个IIR滤波器,并在ISE里面仿真。 project目录里面是工程-Experiment descr iption: this experiment to achieve an IIR filter, and the ISE inside the simulation. \ rtl directory which is the source file \ project directory which is the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2707428
    • 提供者:军军
  1. 2046matchedfilter

    0下载:
  2. 2046点匹配滤波器,附带C/A码生成模块一个-2046 points, matched filter, with C/A code generation module 1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:25148389
    • 提供者:余彦培
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. fir

    0下载:
  2. Verilog编的fir滤波器,可以自己输入参数序列,产生滤波波形-Verilog compiled fir filter, input parameters can be their own sequence, resulting in filtered waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1070
    • 提供者:lifei
  1. Study_on_Key_Technologies_of_n4-DQPSK_Modulation_a

    0下载:
  2. 本文首先研究可4一DQPsK调制解调系统中调制部分的基本原理和各个模块的设计方案,重点研究成形滤波器和直接数字频率合成器 (DireetoigitalFrequeneySynihesis,简称DDS),并针对各个关键模块算法进行matlab设计仿真,展示仿真结果。其次,研究调制解调系统解调部分的基本原理和各个模块的设计方案,重点研究差分解调,数字下变频和位同步算法,也针对其各个关键模块进行算法的Matlab设计仿真。然后用Matlab对整个系统进行理论仿真,得出结论。在此基础 上,采用超高速
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5458230
    • 提供者:cai
  1. hanning

    0下载:
  2. Hanning filter implemented in VHDL
  3. 所属分类:Algorithm

    • 发布日期:2017-04-03
    • 文件大小:565
    • 提供者:rohan
  1. fir_dec3

    1下载:
  2. FIR抽取滤波器,抽取系数3,Verilog版本,数字下变频-FIR decimation filter, extraction coefficient of 3, Verilog version of the digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1853
    • 提供者:王刚
  1. VHDLonfir

    0下载:
  2. FIR滤波器在VHDL中使用(顺序)PROCESS声明或者是加法器和乘法器的“组件 实例”来实现-FIR filter in VHDL use (in order) PROCESS statement or the adder and the multiplier " component instance" to achieve the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:956
    • 提供者:wangYC
  1. 5_3

    0下载:
  2. 53整数小波滤波,编译已经成功,仿真也已经通过,是网上着的资料-53 integer wavelet filter, has been successfully compiled, the simulation has also been adopted, is online with information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1005957
    • 提供者:teamcen
  1. fir_filter

    0下载:
  2. 实现滤波器的功能,有限冲激响应(FIR)数字滤波器和无限冲激响应(IIR)数字滤波器广泛应用于数字信号处理系统中。IIR数字滤波器方便简单,但它相位的非线性,要求采用全通网络进行相位校正,且稳定性难以保障。FIR滤波器具有很好的线性相位特性,使得它越来越受到广泛的重视。-Realize the filter function, finite impulse response (FIR) digital filters and infinite impulse response (IIR) dig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:945511
    • 提供者:陈辉
  1. fir_filter

    0下载:
  2. 该数字滤波器通过结合matlab和vhdl来实现低通fir数字滤波器功能-The digital filter through a combination of matlab and vhdl to achieve low-pass digital filter function fir
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-03
    • 文件大小:27132
    • 提供者:caoge
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 20 »
搜珍网 www.dssz.com