CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fir filter .VHDL

搜索资源列表

  1. fir_rtl

    0下载:
  2. Simple fir digital filter
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-12
    • 文件大小:976
    • 提供者:Digitalkurt
  1. case4

    0下载:
  2. DA算法中的使用的查找表模块,本程序先设计查找表,然后设计4*4DA算法模块,之后进行位扩展和字扩展得到32阶滤波器程序.附带4各表,和FIR滤波器序数-DA algorithm used in the lookup table module, the design of the program first look-up table, and then design 4* 4DA algorithm module, after the word-bit expansion and extens
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:9739
    • 提供者:黄萌
  1. FIR_Direkt_BAB_P

    0下载:
  2. VHDL编写的代码。采用流水线方法实现的FIR滤波器。22阶。Fa=48kHz, Fc=10KHz。可用ModeSim仿真并FPGA实现-Code written in VHDL. Line method using the FIR filter. 22 bands. Fa = 48kHz, Fc = 10KHz. Can be used to achieve ModeSim simulation and FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1324
    • 提供者:李乔
  1. 4

    0下载:
  2. 基于FPGA的FIR数字滤波器的设计与实现,基于FPGA的FIR数字滤波器的设计与实现-FPGA-based FIR digital filter design and implementation of FPGA-Based FIR Digital Filter Design and Implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2337947
    • 提供者:南才北往
  1. 3

    0下载:
  2. FIR数字滤波器的优化与验证 -FIR digital filter optimization and verification FIR digital filter optimization and verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:337009
    • 提供者:南才北往
  1. FIR

    0下载:
  2. The FIR digital filter algorithm is simulated and synthesized using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2633650
    • 提供者:Marija
  1. Finiteimpulseresponsefirfilter

    0下载:
  2. This code is a VHDL based code for FIR filter.A finite impulse response (FIR ) filter is a type of a digital filter. The impulse response, the filter s response to a Kronecker delta input, is finite because it settles to zero in a finite number of sa
  3. 所属分类:source in ebook

    • 发布日期:2017-03-31
    • 文件大小:44305
    • 提供者:kumar
  1. f

    0下载:
  2. vhdl code for FIR filter
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:915
    • 提供者:vovanich
  1. fir_dec3

    1下载:
  2. FIR抽取滤波器,抽取系数3,Verilog版本,数字下变频-FIR decimation filter, extraction coefficient of 3, Verilog version of the digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1853
    • 提供者:王刚
  1. hdlsrc

    0下载:
  2. vhdl program to implement symmetric fir filter
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2388
    • 提供者:deepu
  1. fir_filter

    0下载:
  2. 该数字滤波器通过结合matlab和vhdl来实现低通fir数字滤波器功能-The digital filter through a combination of matlab and vhdl to achieve low-pass digital filter function fir
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-03
    • 文件大小:27132
    • 提供者:caoge
  1. LAB31

    0下载:
  2. EDA基础_综合实验篇__实验三十一 FIR数字滤波器设计-The basis of comprehensive experimental articles EDA __ _ experimental FIR digital filter design 31
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2914854
    • 提供者:wonder
  1. FIRFIR1

    0下载:
  2. 基于FPGA的FIR串行滤波器设计与实现,本文运用VHDL编写-FPGA-based FIR filter design and implementation of the serial, the paper prepared by the use of VHDL
  3. 所属分类:MPI

    • 发布日期:2017-04-10
    • 文件大小:739
    • 提供者:刘宁
  1. fir_gen

    0下载:
  2. fIR(有限冲击响应)滤波器基于vhdl语言开发-FIR filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1208
    • 提供者:hejianhua
  1. Order17firfilter

    0下载:
  2. 17阶FIR滤波器VHDL代码及说明文档-Order 17 FIR filter based on VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:744106
    • 提供者:刘智虎
  1. wrwar

    0下载:
  2. EE367 Lab 6 Creating a FIR filter in VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-05-04
    • 文件大小:1238022
    • 提供者:Karama
  1. firlvboqi

    0下载:
  2. fir滤波器设计,是MATLAB设计的vhdl转换-VHDL fir digital filter design, MATLAB-based design of the conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2970
    • 提供者:赵童
  1. filter1

    0下载:
  2. 题为基于CSD编码的FIR数字滤波器设计.该滤波器具有线性相位,系数减半.采用VHDL语言编写.是我们EDA课程的作业,得了优.希望对大家有用-Entitled based on CSD code FIR digital filter design. That the filters have linear phase, coefficient half. Using VHDL language. Is the EDA program operations, got excellent. Hop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:12827
    • 提供者:万勇
  1. firfilterr

    0下载:
  2. this is a coding file for FIR filter in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2532
    • 提供者:rakhi
  1. FIR_128

    0下载:
  2. FIR 128阶低通滤波器,由matlab仿真并在quartusII中实现-FIR 128 order low-pass filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:366231
    • 提供者:邱柳钦
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com