CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga D

搜索资源列表

  1. sanjiao

    0下载:
  2. 用FPGA产生正弦波信号,没有用到D/A转换器,采用的是pwm原理,占空比可调技术。-Using FPGA to generate sine wave signals, did not use the D/A converter, using the pwm principle, variable duty cycle technology.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:639251
    • 提供者:王中
  1. AD9954

    0下载:
  2. 设计背景:近年来现场可编程门阵列( FPGA) 技术得到了迅速的发展和广泛的应用, 其资源容量、工作频率以及集成度都得到了极大的提高, 使得利用FPGA 实现某些专用数字集成电路得到了大家的关注, 而基于FPGA 实现的直接数字频率合成器即DDS(Direct Digital Synthesizer)则更具其优点, 有着灵活的接口和控制方式、较短的转换时间、较宽的带宽、以及相位连续变化和频率分辨率较高等优点, 其也为设计者在此基础之上实现电路集成提供了另一种方法,同D/ A 转换器和低通滤波器(
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:75433
    • 提供者:吴飞飞
  1. 4

    0下载:
  2. 手把手教你学CPLD/FPGA设计(四)Taught you learn CPLD / FPGA Design (D)-Taught you learn CPLD/FPGA Design (D)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:521758
    • 提供者:man
  1. intelligent-function-generetor

    0下载:
  2. 本设计采用综合设计方法使用FPGA来实现智能函数发生器,它由六个波形产生模块及波形选择输出模块组成,波形选择模块的输出q接在D/A转换的数据端,就可以在D/A输出端得到想要的其中之一的任一种光滑的波形。-VHDL \FPGA \EDA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:117863
    • 提供者:yellow
  1. simple_fm_receiver_latest.tar

    1下载:
  2. 用FPGA实现简单的FM接收机,d/a模块用扬声器-FPGA implementation using a simple FM receiver, d/a module with speaker
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1580569
    • 提供者:张昆
  1. digitron_driver_VHD

    0下载:
  2. 关于easy fpga开发板的led数码管的驱动; --输入:控制端ctrl_digin[2:0]共三位,表示(0~7)控制8个数码管的选通, -- 数据端dig_dtin[3:0]共四位,表示(0~F)控制数码管显示的数字 -- 控制时钟clk_dig一位用于时钟同步 --输出:显示dig_dtout[6:0]共七位,控制A,B,C,D,E,F,G[6:0]小数点不包括在内; -- 控制位ctrl_digout[7:0]共八位,任意时刻只能有一个为高,即只有一个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:920
    • 提供者:陈伟峰
  1. digitron_driver_V

    0下载:
  2. 关于easy fpga开发板的led数码管的驱动; 此为verilog程序 --输入:控制端ctrl_digin[2:0]共三位,表示(0~7)控制8个数码管的选通, -- 数据端dig_dtin[3:0]共四位,表示(0~F)控制数码管显示的数字 -- 控制时钟clk_dig一位用于时钟同步 --输出:显示dig_dtout[6:0]共七位,控制A,B,C,D,E,F,G[6:0]小数点不包括在内; -- 控制位ctrl_digout[7:0]共八位,任意时
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:871
    • 提供者:陈伟峰
  1. Serial-port

    0下载:
  2. this a serial port (COM) transmitter module and it is fully synthesizble on fpga it has load, clk, rest and data inputs and serial a,d busy outpus -this is a serial port (COM) transmitter module and it is fully synthesizble on fpga it has load, c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:271210
    • 提供者:hamid moallemi
  1. async_reset_dff

    0下载:
  2. 异步复位的D触发器 vhdl fpga xilinx spartan-3e-D flip flop async-reset vhdl fpga xilinx spartan-3e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:914180
    • 提供者:朱飞亚
  1. newCodeV1.5

    0下载:
  2. 在公司用的生成fpga的mif文件的工具,用于LED显示屏,编辑数据输出,一般用于显示全彩图片的数据处理,生成的文件在D盘根目录下-The company used to generate FPGA MIF File tools, used in LED display, editing the data output, generally used for display full color picture data processing, the generated files in th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:16831
    • 提供者:薛群峰
  1. logic

    0下载:
  2. 学习FPGA常用的一些门电路,各种门电路,D触发器-Some of the commonly used learning FPGA implication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6189834
    • 提供者:
  1. qi-duan-yi-ma-qi

    0下载:
  2. 七段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA\CPLD中来实现。本实验作为7段译码器,输出信号LED7S的7位分别是g、f、e、d、c、b、a,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别为1、1、0、1、1、1、0、1。接有高电平段发亮,于
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3147
    • 提供者:xuling
  1. fsk_completed

    0下载:
  2. FPGA为设计载体,VHDL 为设计输入,完成2FSK调制器的实现,下载到DE2平台通过D/A转换模块于示波器上实现-2FSK based on Fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:568779
    • 提供者:jiran
  1. DA

    0下载:
  2. 基于FPGA的D/A转换源代码,实现DA转换-FPGA-based D/A converter source code, to achieve DA conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:3072183
    • 提供者:马加爵
  1. INT_DCT

    1下载:
  2. Verilog HDL语言实现的整数DCT变换模块。其中包括一维和两维的DCT变换模块各一个。该模块都通过硬件仿真以及FPGA实现后的测试,均满足预期的DCT变换功能。-Integer DCT transfer module with Verilog HDL format. The package includes one 1-D and one 2-D DCT transfer module, which all pass simulation and FPGA evaluation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:2120
    • 提供者:Alex Liu
  1. projet

    0下载:
  2. Nous nous proposons de construire un système d’acquisition à partir du « SPARTAN 3A FPGA starter kit board » de XILINX et des périphériques de cette carte dans le cadre du TP « acquisition de données » . Le kit comprend un ADC deux vo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:369225
    • 提供者:ELRIFAI
  1. DDS

    0下载:
  2. 首先利用VC6.0程序产生正弦波,三角波ROM数据,并将数据导入FPGA的ROM,利用TLV5618是串行输入的12位高精度快速双口D/A转换器输出。-First using VC6.0 program generate sine wave, triangle wave ROM data, and the data import the ROM of the FPGA, using TLV5618 is high precision and fast duplex serial input 12
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-18
    • 文件大小:3969966
    • 提供者:郑生
  1. sine

    0下载:
  2. 正弦信号发生器的设计,正弦信号发生器的结构由3 部分组成。数据计数器或地址发生器、数据ROM 和D/A。性能良好的正弦信号发生器的设计要求此3 部分具有高速性能,且数据ROM 在高速条件下,占用最少的逻辑资源,设计流程最便捷,波形数据获最方便。下图是此信号发生器结构图,顶层文件SINGT.VHD 在FPGA 中实现,包含2 个部分:ROM 的地址信号发生器,由5 位计数器担任,和正弦数据ROM,拒此,ROM由LPM_ROM模块构成能达到最优设计,LPM_ROM底层是FPGA中的EAB或ESB等。
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-11-14
    • 文件大小:1826219
    • 提供者:吴祥
  1. D_FF_ok_D

    0下载:
  2. Learning FPGA students can see, this code USES VHDL language to write D flip-flop, not only can learn QUARTUS software, also can better enhance the digital circuit design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:104547
    • 提供者:朱家林
  1. FPGA_Divider

    0下载:
  2. 本源码是用verilog语言编写的FPGA的除法器和74LS138及D触发器模块。-The source code is written in verilog FPGA divider and 74LS138 and D flip-flop modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1002
    • 提供者:黄华
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com