CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga capture

搜索资源列表

  1. MAX121_test

    1下载:
  2. max121,ad采集芯片,spi接口,fpga测试逻辑,verilog语言-max121, ad capture chip, spi interfaces, fpga test logic, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:6812672
    • 提供者:蒋大鹏
  1. FPGAVIDEO

    0下载:
  2. 这是一篇论文《FPGA控制实现图像系统视频图像采集》做这方面研究可以参考一下-This is a paper "FPGA Implementation of Image capture video images."
  3. 所属分类:Project Design

    • 发布日期:2017-04-24
    • 文件大小:278074
    • 提供者:吉祥
  1. 1756456

    0下载:
  2. 设计了一种基于TMS320C6455与FPGA 的实时图像跟踪系统,该系统首先采用MAX9526 采集图像,利用FPGA 对图像进行均值滤波,滤波后数据采用乒乓方式传输给DSP。Mean Shift 跟踪算法采用图像像素灰度距离中心点的距离作 为目标特征建立核函数,实现对目标的实时跟踪。实验表明,该系统具有良好的实时性与稳定性。-Designed a real-time image-based tracking system TMS320C6455 and FPGA, the system
  3. 所属分类:Special Effects

    • 发布日期:2017-05-09
    • 文件大小:1670195
    • 提供者:rambolyb
  1. DE2_70_CAMERA

    0下载:
  2. FPGA摄像头驱动,通过VGA,可以在电脑屏幕上实时显示所采集图像-FPGA camera driver, via VGA, you can capture real-time display of the image on the computer screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2525683
    • 提供者:赵爱国
  1. DE4_530_D5M_DVI

    0下载:
  2. FPGA摄像头驱动,通过VGA,可以在电脑屏幕上实时显示所采集图像-FPGA camera driver, via VGA, you can capture real-time display of the image on the computer screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1058100
    • 提供者:赵爱国
  1. FPGA_5

    0下载:
  2. 无SDRAM的PCI采集,给出PCI采集的FPGA程序,桥芯片也为PLX9054,已验证通过-No SDRAM, PCI capture, given FPGA PCI acquisition program, bridge chips for PLX9054, has been verified by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4631814
    • 提供者:大兵丶将
  1. SOBLE_VGA

    0下载:
  2. 本设计是通过摄像头OV7670采集图像,然后通过FPGA进行边沿检测算法,最后通过VGA进行显示。-This design is through the camera OV7670 capture images, and then through the FPGA edge detection algorithm, and finally through VGA display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1558798
    • 提供者:马清源
  1. 10_CMOS_OV7725_RGB640480

    1下载:
  2. 采用FPGA EP4CE开发的OV7725摄像头视频采集系统,采用Verilog实现-Using FPGA EP4CE developed OV7725 camera video capture system, using Verilog realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:768937
    • 提供者:leeyg
  1. ov7670-1

    0下载:
  2. ov7670摄像头FPGA数据采集、显示模块,测试可用-ov7670 camera, verilog code, video capture and display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6324852
    • 提供者:yexin
  1. FPGA_CODE

    0下载:
  2. MT9P031 FPGA代码,包含图像采集,I2C配置等-MT9P031 verilog code, image capture, I2C regestrer
  3. 所属分类:Special Effects

    • 发布日期:2016-07-15
    • 文件大小:4488192
    • 提供者:曹一
  1. fpGA_Glonass

    0下载:
  2. 基于FPGA的GPS glonass信号的的捕获,有很好的借鉴作用-Based on the FPGA GLONASS satellite signal capture and anti-interference
  3. 所属分类:Other systems

    • 发布日期:2017-05-31
    • 文件大小:13171935
    • 提供者:长贵了
  1. mt9d112_ddr2

    0下载:
  2. 镁光MT9基于FPGA图像采集模块,该模块可同时采集两路视频信号。其包括完整的时序和接口、ddr2内存数据写入和存储、qsys系统的搭建、FPGA与NIOS II联合设计-Micron MT9 based on FPGA image acquisition module, the module can simultaneously capture two video signals. Including the complete timing and interface, ddr2 memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-22
    • 文件大小:39202996
    • 提供者:
  1. HDMI_4AV

    0下载:
  2. 该源码为基于FPGA的HDMI显示的一拖四的AV视频采集。该模块可方便移植在需要使用HDMI高清显示的场合,并且可将VGA显示一分为四,方便各个窗口显示不同的图像信息-The source for the FPGA-based HDMI display of a four of the AV video capture. The module can be easily transplanted in the need to use the HDMI high-definition displa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:2033883
    • 提供者:
  1. ov5640

    2下载:
  2. fpga控制CMOS相机ov5640采集图像,包括相机配置,ddr缓存,vga显示三个模块。直接可用-fpga control CMOS camera ov5640 capture images, including camera configuration, ddr cache, vga three display modules. Directly available
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:14718
    • 提供者:高文
  1. OV7670_DDR2_VGA

    1下载:
  2. 在FPGA下的视频采集显示,采用纯Verilog编写,其中包括有OV7670摄像头,高速存储器DDR2,ADV芯片的VGA。-In FPGA video capture display, using pure Verilog prepared, which includes OV7670 camera, high-speed memory DDR2, ADV chip VGA.
  3. 所属分类:Video Capture

    • 发布日期:2017-06-19
    • 文件大小:27834368
    • 提供者:jav
  1. sdram_ov7670_vga

    0下载:
  2. 基于FPGA的CMOS摄像头视频采集传输,lcd显示。-FPGA-based CMOS camera video capture transmission, lcd display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:7847663
    • 提供者:hecong
  1. DE2_115_D8M_RTL

    0下载:
  2. 关于一个视频采集系统的源码,可搭载FPGA板子直接使用(About a video capture system source code, can be equipped with FPGA board directly use.)
  3. 所属分类:书籍源码

    • 发布日期:2018-05-03
    • 文件大小:19971072
    • 提供者:wc19960313
« 1 2 3»
搜珍网 www.dssz.com