CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fsm

搜索资源列表

  1. phy-fsm-usb

    0下载:
  2. OTG Finite State Machine from OTG spec for Linux.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:3181
    • 提供者:yezengrer
  1. KGFMapSystem2.3

    0下载:
  2. 解析json,支持fsm状态机,和一个状态机的源码的实现-Parse json
  3. 所属分类:Shell api

    • 发布日期:2017-06-16
    • 文件大小:25640371
    • 提供者:andy
  1. fsm

    0下载:
  2. Finite state machine for Linux v2.13.6.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:3087
    • 提供者:fuguihin
  1. fsm

    0下载:
  2. verilog四状态状态机 带异步清零端和测试向量 mealy型状态机 很好用哦 -verilog four state machine with asynchronous clear end and test vectors mealy-type state machine oh well
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:315669
    • 提供者:普通场
  1. FSM

    0下载:
  2. it explains how to write the statemachins
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:752
    • 提供者:buted
  1. partii_fsm_SequenceUsingCase

    0下载:
  2. verilog hdl code fsm sequence detector using case ,, an FSM that recognizes two specific sequences of applied input symbols, namely four consecutive 1s or four consecutive 0s. There is an input w and an output z. Whenever w = 1 or w = 0 for fou
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:753913
    • 提供者:shimaa
  1. FSM

    0下载:
  2. 利用有限状态机来控制飞船,模仿小行星游戏中飞船的行为-Finite state machine to control the spacecraft, the spacecraft game imitate the behavior of asteroids
  3. 所属分类:Game Engine

    • 发布日期:2017-05-02
    • 文件大小:847893
    • 提供者:Rose
  1. Simple-Finite-State-Machine

    0下载:
  2. This program can determin very accurately the nature of the user input, it detects whether it is an integer, a float, a number in scientific notation or simply an invalid input. To be capable of doing this the program uses a simple FSM (Fini
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-27
    • 文件大小:8759
    • 提供者:neihai
  1. CoG

    0下载:
  2. Semi-functional FSM and ROM for Xilinx CPLD to drive ST7565R based off Digikey example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1069349
    • 提供者:Mac
  1. homework2

    0下载:
  2. A finite state machine (FSM) is an abstract machine used to model a sequential system or a computer program. An FSM can be represented as a directed graph having a set of N nodes representing states connected with a given set of directed edges. A
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-10
    • 文件大小:966
    • 提供者:tuucan
  1. callbacks

    0下载:
  2. Callbacks for the FSM.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:1945
    • 提供者:wunnonma
  1. UpDownCounter_FSM

    0下载:
  2. This code is an Up Down Counter in FSM using Verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6510
    • 提供者:Patrick Go
  1. seg

    0下载:
  2. 可以很好学习的学习状态机!学习逻辑能力,提高自己的代码书写能力!-FSM study,if you like study vhdl,you could download this zip to study
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1558806
    • 提供者:王辉
  1. edss1

    0下载:
  2. complete the FSM move state event descr iptions to a user space logger.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:4103
    • 提供者:dsvoxao
  1. Exp15_FSMKEY

    0下载:
  2. 基于STM8S105C6T6 的FSM按键扫描,完全状态机,支持 按下 长按 连发 抬起,最多256个按键。超小RAM-STM8S105C6T6 of FSM based key scanning, complete state machine, press the press and bursts of support to lift up to 256 keys. Ultra-small RAM
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-25
    • 文件大小:49404
    • 提供者:赵磊
  1. FSM

    0下载:
  2. 这是用 vhdl所实现的有限状态机的代码,是学VHDL的基本-this is the VHDL for finite state machine.
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:560
    • 提供者:lilyhe
  1. avr_keypad

    0下载:
  2. 1.基于FSM(有限状态机)扫描独立按键,并带 长按 功能; 2.基于FSM扫描4*4矩阵键盘。-1. Based on FSM (finite state machine) scan separate buttons, and with a " long press" function 2. Based on the FSM 4* 4 matrix keyboard scanning.
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3621
    • 提供者:vergil.li
  1. digital-clock-test-console

    0下载:
  2. this code is used for implementation of digital clock using finite state machine. This code try to show how to use FSM for state transition
  3. 所属分类:Console

    • 发布日期:2017-04-13
    • 文件大小:1658
    • 提供者:appolo
  1. lab7_2_new

    0下载:
  2. 移动信息工程学院实验课程源码:用FSM实现soda_machine(自动售货机)-Use verilog to implemwnt a soda_machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1965029
    • 提供者:Wangchy
  1. lab9_2

    0下载:
  2. 用verilog实现更高级的交通灯:增加游行模式。实质上是对米粒状态机的掌握-An implementation in verilog on Mealy FSM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:481866
    • 提供者:Wangchy
« 1 2 ... 8 9 10 11 12 1314 15 16 »
搜珍网 www.dssz.com