CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - green function

搜索资源列表

  1. lzdy_v3.05

    0下载:
  2. 露珠电影CMS系统,经过了几次版本的更新,功能逐渐完善,整站绿色风格,给人清新的感觉,部分页面具有生成HTML的功能。内置有流行的视频播放器,可以批量增加视频等-Dew movie CMS system, after several times of update, the gradual improvement, ZhengZhan green style, giving the feeling of freshness, part of the page is generated HTML
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-24
    • 文件大小:407165
    • 提供者:spudn38
  1. lvhh_v2.0

    0下载:
  2. 基于asp+access的苗木花卉网站源码,绿色风格,数据库已设有有防下载,懂ASP网页的可修改美化,按照你自己的风格定制修改。后台功能实用强大。   调试运行环境:要安装IIS服务器(IIS的安装和配置,安装好后,在地址栏输入:http://127.0.0.1 即可访问网站)。   程序后台:http://你的域名/admin/login.asp   后台帐号:admin 密码:dazhoubaopi.com-Asp+access nursery stock flowers
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-05-21
    • 文件大小:6595340
    • 提供者:spudn39
  1. shuziwenduji

    0下载:
  2. 数字温度计 设计要求: 1:能够实时显示环境温度。 2:能够保存使用时间内的最大值和最小值,能够查阅。 3:有温度报警功能,能够设置报警温度。用绿灯表示正常温度,红灯表示报警同时发声。 4: 自由发挥其他功能-Digital Thermometer Design requirements: 1: The ambient temperature can be displayed in real time. 2: The ability to save time
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:20978
    • 提供者:甄子丹
  1. SNAKE

    0下载:
  2. 首先设定域值分割,把基本的轮廓找出来,见图中蓝色轮廓线,再将轮廓点传入cvSnakeImage函数,计算出绿色的snake轮廓线。 其中参数alpha代表点相互靠拢的权值(0-1.0),beta表示弯曲能量(越小越容易弯曲)(0-1.0),gamma表示整体能量(0-1.0)。其中参数我自己也不确定具体的范围,最好自己更改不同的范围试试.-First set the threshold segmentation, find out the basic outline, blue contou
  3. 所属分类:OpenCV

    • 发布日期:2017-04-13
    • 文件大小:1875
    • 提供者:王越
  1. time

    0下载:
  2. 特點 1. 準確的計時函數, 時間不會有任何誤差. 2. 倒計時&順計時 3. 提供秒錶精度選項, 可以選擇 1/100秒, 1/10秒 , 1秒 4. 「順計時」 和 「倒計時」 在計時過程中也可以自由切換 5. 有8種顏色, 『紅綠藍白黃青紫橙』 6. 可以自由調整窗口大小-Features 1. Accurate timing function, time does not have any errors. 2. Countdown timer 3 & s
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-24
    • 文件大小:76279
    • 提供者:wjp
  1. Digital-Clock

    0下载:
  2. 1.具有‘时’、‘分’、‘秒’、‘毫秒’的数码管十进制数字显示。 2. 具有手动校时、校分的功能。 3.具有定时与闹钟功能,能在设定的时间使LED灯亮光。 4.能进行整点报时。即从59分50秒起,每隔2秒钟绿色LED灯点亮一次,连续5次,最后一次红色LED灯点亮一次,表明到达整点。 5、具有秒表功能,能显示1 秒,手动停止。 6、具有倒计时功能,显示小时、分钟、秒。 -1. With ' when' , ' points' , ' secon
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2235
    • 提供者:wang
  1. delay

    0下载:
  2. *循环与延时程序 *该程序的功能可使接在F206的XF,IO2引脚上的发光二极管(红、绿灯)交替闪烁, *闪烁间隔为2s(亮2s,灭2s),闪烁总时间为2min *程序中用到了用DSP实现的延时模块。该模块是采用指令延时来实现的,这在很多 *程序中都可以用到,但在严格的情况下应使用定时器产生延时以提高精度和CPU效率。-* Loop and delay procedures* function allows the connection of the program in the
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:1168
    • 提供者:Tom
  1. shuzipinlvji

    0下载:
  2. 1.用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz~10KHz,分成两个频段,即1~999Hz,1KHz~10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -1 completed 12 with VHDL design and simulation of d
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:312781
    • 提供者:123
  1. VHDL

    0下载:
  2. 有一个实际的十字路口设置有东西、南北两个方向的干道,为确保车辆安全通行,在每条干道的每个入口设置了一组两位数码管显示装置和四组红、绿、黄信号灯,分别用来指示东西方向直行、南北方向直行、东西方向转弯和南北方向转弯;同时设有紧急处理状态,数码管显示可有人工控制,并设有初始化功能。-There is a real crossroads to set something, the north-south trunk road in both directions, to ensure the safe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:935639
    • 提供者:leitao
  1. rilinote

    0下载:
  2. 本次设计的记事本不仅具有存储,删除,查看的日记的功能,当被选中的一天里写上日记,对应的数字会变成黄色,当把日记删除后数字的颜色会变回来,当天的日期显示为红色,这次的设计还提取了与系统一致的时间显示,在此之上还添加了改变日历背景的功能(默认背景是浅蓝色,更换背景时颜色变为绿色)实现了背景的交替更换。-The design of the notebook has storage, delete, view the diary function, when writing the diary was
  3. 所属分类:Java Develop

    • 发布日期:2017-04-14
    • 文件大小:5520
    • 提供者:王俊
  1. ZKFinger_SDK_VC_DEMO_5.0.1.1371

    0下载:
  2. 1. 实现登记、比对指纹模板功能,登记完后同时获取9.0和10.0算法指纹模板。比对时需要选择9.0还是10.0进行比对(支持1:1和1:N比对)。实现了对红灯、绿灯、Beep的控制。 2. 实现ZK8000指纹仪读写卡功能。-1. Achieve registration, matching fingerprint templates, registered 9.0 and 5.0 after the same algorithm to obtain the fingerprint tem
  3. 所属分类:Driver Develop

    • 发布日期:2017-06-03
    • 文件大小:15100618
    • 提供者:郭文龙
  1. traffic-light

    0下载:
  2. 实现交通灯的功能,20s的红灯,17s的绿灯,3s的黄灯,黄灯0.5s闪烁一次-Function of traffic lights, 20s red light, 17s green light, 3s yellow light, yellow light flashes once 0.5s
  3. 所属分类:assembly language

    • 发布日期:2017-05-07
    • 文件大小:1070833
    • 提供者:llw
  1. wanggouyuanma

    0下载:
  2. 没有后台,上传空间即可使用,轻松建站。新手立刻就能用。 留言本默认用户名:admin 密码admin 纯静态HTML,绿色源码。留言本功能需要空间支持ASP及MSSQL. 网页内容可直接使用记事本、写字板或网页编辑器,直接打开就可以修改。 纯净代码,无多余文件、无插件、无恶意广告、无弹窗、无乱码。-No background, upload space can be used, easy jianzhan. The novice can immediately use. Me
  3. 所属分类:OS Develop

    • 发布日期:2017-04-08
    • 文件大小:202417
    • 提供者:晋狭小
  1. lightdemo

    0下载:
  2. 模拟红绿灯,VB6.0模拟交通信息灯定时切换功能,到时间自动变灯。初始设定为60秒,时间到自动变为黄灯,随后变为绿灯,用VB写交通灯控制的时候,这个逻辑可以参考。-Simulation of traffic lights, VB6.0 simulation of traffic information lamp switch function, the automatic variable light time. The initial set to 60 seconds, the time t
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:2163
    • 提供者:wpudn38
  1. Delphi_803600

    0下载:
  2. Delphi:Delphi源码动态创建sql2000数据库、数据表,生成.sql文件,在sql2000绿色版下测试通过。注意:企业管理器生成的.sql,需要新建一个.txt文件,把内容复制过来,不然会提示第一句有错,go 要去掉。实现思路:先填写好数据库连接信息,这个不用多说吧,然后创建数据集组件,指定连接Connection1给数据集Query1,调用函数,创建数据库,这里调用CreateNewDB函数,其实到这里基本上已经完成创建工作了。 -Delphi: Delphi source c
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-06
    • 文件大小:11173
    • 提供者:AZ5VK8J
  1. The-encoder-and-decoder-for-ASK

    0下载:
  2. 青创电子的ASK-编码器和译码器程序代码,实现编码和译码功能-Green and electronic ASK- encoder and decoder program code, realize the encoding and decoding function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:252420
    • 提供者:JIM
  1. The-encoder-and-decoder-for-ASK-CMI

    0下载:
  2. 青创电子的CMI-编码器和译码器程序代码,实现编码和译码功能-Green and electronic CMI- encoder and decoder program code, realize the encoding and decoding function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:192787
    • 提供者:JIM
  1. 6_PWM_LED

    0下载:
  2. *Launchpad G2553开发板上P1.3接了一个按键,P1.6各接了1个绿光LED(用跳线帽连接)。 * 功能描述:按键按下的瞬间,LED亮度变化。需调用TA_PWM库函数。 *开发环境CCS-* Launchpad G2553 development board to pick up a key P1.3, P1.6 each picked up a green LED (connected with a jumper cap). * Development envir
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:43753
    • 提供者:方跃东
  1. DanceMachine

    0下载:
  2. 1. 开机放背景音乐;当得分打破记录时放胜利音乐;当跳舞机两次按键都正确时,放所对应的乐音; 2.有简单和困难两种级别,二者差别在于标志下落速度的快慢。 3.有三首被选歌曲可供挑选; 4.“正反键”功能。绿色标志为正常输入,红色标志为反向输入,即必须输入与屏幕显示方向相反的方向才有效果。 5.两次输入确认功能。第一次要输入正确的方向键,在方向键输入正确以后,输入代表音高的数字键,输入正确扬声器才能放音。 6.比较识别范围。两条分割线控制输入的时机。第一次输入,操作者只需在标志
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:763807
    • 提供者:llx
  1. weicaise

    0下载:
  2. 给定灰度-彩色转换函数,对一灰度图像进行伪彩色处理。转换函数如下表:输入灰度级0~31 淡黄色; 32~63 黄色; 64~95 橙色;96~127 红色; 128~159 紫色; 160~191 淡蓝色;192~223 绿色; 224~255 蓝色。-Given the gray- color conversion function for a pseudo-color gray-scale image processing. Conversion functions in the follo
  3. 所属分类:Special Effects

    • 发布日期:2017-04-09
    • 文件大小:789
    • 提供者:gede
« 1 2 ... 4 5 6 7 8 910 11 12 13 »
搜珍网 www.dssz.com