CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - hdb3

搜索资源列表

  1. HDB3

    0下载:
  2. 用matlab编写的HDB3码文件,可以将HDB3码转换成AMI码,并还原检错-HDB3 code file using matlab HDB3 code convert AMI code and restore the error detection
  3. 所属分类:matlab

    • 发布日期:2017-11-10
    • 文件大小:1309
    • 提供者:cliff
  1. HDB3

    0下载:
  2. 用matlab编写的HDB3码 对于初学信息论编码的同学很有益处-HDB3 code matlab prepared students for the the beginner information theory coding helpful
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-22
    • 文件大小:810
    • 提供者:吴忧
  1. Hdb3

    0下载:
  2. HDB3的verilog和VHDL版本实现,已经测试过没有问题,可以使用-hdb3 verilog vhdl
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-11-09
    • 文件大小:1344499
    • 提供者:lijunwen
  1. HDB3

    0下载:
  2. 这个程序是针对HDB3码的编码以及译码。两种不同的编译码程序,得到的效率不同。-This procedure is for the HDB3 code encoding and decoding. Two different codec program, the efficiency of the obtained different.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:11095
    • 提供者:fandi
  1. HDB3

    0下载:
  2. the code performs as an encoder used hdb3 form , it can be used in fpga
  3. 所属分类:Project Design

    • 发布日期:2017-11-08
    • 文件大小:267220
    • 提供者:xzj
  1. AMI-HDB3-HDB3-2

    0下载:
  2. 通信原理中,AMI码,HDB3码,HDB3-2码的编程和波形图实现-Communication Theory AMI code, HDB3 code, HDB3-2 yards programming and waveform graph to achieve
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-11-14
    • 文件大小:186368
    • 提供者:yangyang
  1. hdb3

    0下载:
  2. HDB3解码应用 基于FPGA的HDB3编解码器的设计与实现-HDB3 decoding application HDB3 codec based on FPGA design
  3. 所属分类:Other systems

    • 发布日期:2017-11-08
    • 文件大小:1647493
    • 提供者:dekyiyangzom
  1. HDB3编解码器课程设计

    0下载:
  2. 对HDB3码型基本原理和特性的认识、对Quartus Ⅱ软件的熟练操作、对Verilog HDL的掌握和应用,这些知识都是进行电子设计的基本知识和能力,只有基础知识和能力扎实了,才能更好的进行更高层次的电子设计,所以这个设计也是对电子设计基本能力的很好的锻练。
  3. 所属分类:报告论文

    • 发布日期:2013-04-26
    • 文件大小:1021952
    • 提供者:xiaoguai211
  1. HDB3

    0下载:
  2. HDB3协议的编解码,并有对于频率为32768HZ的仿真图,并且将时钟线数据线合一,并有同步时钟提取的模块。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:1725077
    • 提供者:张甫恺
  1. hdb3

    0下载:
  2. 有关HDB3码型的编码,matlab程序-About the HDB3 code-coding, matlab program
  3. 所属分类:Other systems

    • 发布日期:2017-11-17
    • 文件大小:747
    • 提供者:cc
  1. HDB3

    0下载:
  2. 通信原理,HDB3编码,实现在信道中更好的传输。-Communication Theory, HDB3 coding, to achieve better transmission in the channel
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-13
    • 文件大小:1683
    • 提供者:merry wang
  1. HDB3-code-encoding-rules

    0下载:
  2. 介绍了通信行业中,最常用的一种编码机制,HDB3编码机制,这种编码机制可以减除通讯过程中的误码几率-Describes the communications industry, the most commonly used coding scheme, HDB3 encoding mechanism, this encoding mechanism can be less chance of errors during communication
  3. 所属分类:Communication

    • 发布日期:2017-04-04
    • 文件大小:6719
    • 提供者:陈文倩
  1. HDB3

    0下载:
  2. 通过matlab仿真验证HDB3码的原理,分析HDB3码的优缺点. -HDB3 code by matlab simulation principle, analyze the advantages and disadvantages HDB3 code.
  3. 所属分类:matlab

    • 发布日期:2017-04-17
    • 文件大小:40673
    • 提供者:wanghao
  1. hdb3

    0下载:
  2. hdb3码编码器 基于fpga的hdb3码编码器 运行可行 并且已经在板子上调试过-hdb3 code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4286
    • 提供者:胡用
  1. HDB3

    0下载:
  2. hdb3码编解码仿真,hdb3码的编解码程序,会自动合成图-hdb3 encoding and decoding simulation
  3. 所属分类:Document

    • 发布日期:2017-04-08
    • 文件大小:1085
    • 提供者:陈晨
  1. HDB3

    0下载:
  2. 通信中的HDB3编码的仿真建模,仿真程序建模!-Communication HDB3 coding simulation modeling, simulation modeling program!
  3. 所属分类:source in ebook

    • 发布日期:2017-04-11
    • 文件大小:1071
    • 提供者:yuanxingmeng
  1. HDB3

    0下载:
  2. hdb3键盘接口VHDL程序,经过严格仿真,很有参考价值。-HDB3 VHDL keyboard interface program, after a rigorous simulation, of great reference value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:260230
    • 提供者:崔凯华
  1. hdb3

    0下载:
  2. decode hdb3,对一个hdb3码进行译码运算- decode hdb3
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-13
    • 文件大小:2268
    • 提供者:zengshuting
  1. hdb3

    0下载:
  2. 将普通码元编译成hdb3码的程序,使用matlab环境编译,希望对大家有所帮助。-the program which changes the origin mode to hdb3
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:721
    • 提供者:张紫
  1. HDB3

    0下载:
  2. HDB3码 连“0”个数计数 V脉冲位置记录变量B脉冲位置记录变量 让0000的最后一个0改变为与前一个非零符号相同极性的符号-Even HDB3 code " 0" count the number of pulse position record variable B V pulse position to make 0000 a record variable is changed to 0 and the last one before a non-zero symbo
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-10
    • 文件大小:771
    • 提供者:hqx
« 1 2 3 4 5 67 8 9 10 11 ... 14 »
搜珍网 www.dssz.com