CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - keyboard VHDL

搜索资源列表

  1. ps2_keyboard

    0下载:
  2. 用 vhdl 编写的ps2 keyboard 的接口程序-prepared using VHDL ps2 keyboard interface procedures
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:7747
    • 提供者:王晓杰
  1. VHDLkeyboard.rar

    0下载:
  2. 4*4键盘扫描的VHDL程序,可消除抖动,可以帮助大家一下,4* 4 keyboard scan VHDL procedures to eliminate jitter, we can help you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5531
    • 提供者:孙仲
  1. debounce

    0下载:
  2. 基于VHDL的键盘去抖动电路 基于VHDL的键盘去抖动电路-VHDL-based keyboard to jitter circuit VHDL-based keyboard to jitter circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:288815
    • 提供者:叶金伟
  1. ISE_lab19

    1下载:
  2. 俄罗斯方块VHDL实现,。该设计由下面模块组成:键盘输入模块,游戏控制模块,图像显示模块,文字显示模块,存储单元,复用单元和VGA 控制模块组成。其中图像显示模块和文字显示模块复用VGA 控制模块。游戏控制模块,图像显示模块和文字显示模块通过存储单元交换数据。-Tetris VHDL implementation. The design consists of the following modules: Keyboard input module, the game control modul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3850145
    • 提供者:雷旦
  1. 123654vhaing

    0下载:
  2. 八音自动播放电子琴设计 vhdl源码,文件内有具体注释 [VHDL-XILINX-EXAMPLE26.rar] - [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9- -Octave electronic keyboard play aut
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:231894
    • 提供者:杨领超
  1. firshuzilvboqi

    0下载:
  2. :介绍了基于FPGA的FIR数字滤波器的设计与实现,该设计利用Matlab工具箱设计窗函数计算FIR滤波器系数,并通过VHDL层次化设计方法,同时FPGA与单片机有机结合,采用C51及VHDL语言模块化的设计思想及进行优化编程,有效实现了键盘可设置参数及LCD显示。结果表明此实现结构能进一步完善数据的快速处理和有效控制,提高了设计的灵活性、可靠性和功能的可扩展性。 -: This paper presents FPGA-based FIR digital filter design and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7439
    • 提供者:佘斌
  1. __FPGA_Prototyping_by_VHDL_Examples

    0下载:
  2. 在赛灵斯上用VHDL实现,串口,PS MOUSE, PS KEYBOARD..... 协议-on Xilinx,to achieve using VHDL too fullfill UART, PS MOUSE, PS KEYBOARD ..... prototype
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:16910396
    • 提供者:jack
  1. paomadeng

    0下载:
  2. 基于VHDL语言的4X4键盘 通过仿真 可用-keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:377675
    • 提供者:fanglu
  1. alarm-clock

    0下载:
  2. 该代码用VHDL实现了闹钟的定时和提醒功能。里面包含四部分代码,分别实现了60,30,2分频;键盘控制;外围控制;用quartus2软件就可以打开,压缩包中附有四个代码的仿真结果。-The VHDL code used to achieve the alarm clock to remind the timing and function. Code which contains four parts, namely a frequency 60,30,2 keyboard control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:18380
    • 提供者:杨帆
  1. PS2_IP_CORE

    0下载:
  2. 该IP核是一个ps2键盘的源代码(vhdl语言)-The IP core is a ps2 keyboard source code (vhdl language)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:26917
    • 提供者:liushui
  1. key_scan

    0下载:
  2. 基于fpga的键盘扫描模块vhdl描述,可以直接调用-Fpga-based keyboard scan module vhdl descr iption, you can directly call
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:595
    • 提供者:郭帅
  1. EDA

    0下载:
  2. 这是一本基于VHDL语言的的实验指导书,包括AD,DA,键盘等驱动程序,希望大家能好好学习-This is based on a VHDL language guide book of experiments, including AD, DA, keyboard drivers, hope that we can learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1793930
    • 提供者:liujing
  1. FPGAjianpansaomiao

    0下载:
  2. FPGA的键盘扫描程序,VHDL编程,大家下载看吧。-FPGA keyboard scanner, VHDL programming
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-07
    • 文件大小:25204
    • 提供者:李军
  1. DIANZIQIN

    0下载:
  2. 实现琴键记忆及动态显示的电子琴VHDL源程序,经FPGA验证可行-Achieve the keys of the keyboard memory and dynamic display VHDL source code, after FPGA validation feasible
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:1689
    • 提供者:王宇坤
  1. 4x4Key_daisy090708

    0下载:
  2. 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上实现对4x4键盘的输入控制,并显示在一个8段式数码管上。-The use of Altera' s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 the development board to realize 4x4 keyboard input control, and displayed in an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:456196
    • 提供者:Daisy
  1. keyboardVhdl

    0下载:
  2. 很好用的PS2键盘检测程序 愿意和大家分享 代码为VHDL格式的-Good use PS2 keyboard detection program is willing to share code for VHDL format
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:1120
    • 提供者:shbsr
  1. keyscan

    0下载:
  2. 2×8 键盘扫描编程--- VHDL语言-2×8 keyboard scan---VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:579
    • 提供者:rjy
  1. matrix_1

    0下载:
  2. 基于FPGA的矩阵键盘设计,使用VHDL语言。有详细的注释可供参考-FPGA-based matrix keyboard design, using VHDL language. Detailed notes for reference
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:329005
    • 提供者:dingxing
  1. KLAWIATURA_4x4_ZL9_VER2

    0下载:
  2. project is a simple keyboard 4x4 in VHDL in QUARTUS II from altera.com
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:344032
    • 提供者:tomi
  1. ep1c6_34_ps2_mouse_test

    0下载:
  2. ps2 键盘的FPGA实现,用VHDL语言在epc16上测试通过-ps2 keyboard FPGA, VHDL, language epc16 on the test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:161790
    • 提供者:yangshuzhi
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 16 »
搜珍网 www.dssz.com