CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - led vhdl

搜索资源列表

  1. 01.ISE8.2

    0下载:
  2. 这个是我用的合众达试验箱里面的资料。合众达试验箱里面集成的是xilinx的virtex4,这个是在ise环境中审计的程序,包括led,da/ad转换实验,键盘实验,以及rtc读取和lcd显示等。-vhdl programs that used by xilinx virtex4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:14129382
    • 提供者:肖姗姗
  1. LED

    0下载:
  2. VHDL代码控制流水灯交替点亮的入门程序-VHDL Control LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:186018
    • 提供者:gaojian
  1. E1-FramerDeframer

    0下载:
  2. E1 Framer/Deframer,E1 framer Deframer core implements CCITT (ITU) recommedations G.704,G.706 and G.732 for 30 channel multiplexed E1 stream at 2.048Mbps rate. Note:This project is part of the OpenStacks initiative at the Telecom Software Laborator
  3. 所属分类:Other systems

    • 发布日期:2017-03-24
    • 文件大小:138973
    • 提供者:xiao
  1. VHDLprogram

    0下载:
  2. VHDL的程序包,包括LED控制,LCD控制、DAC0832接口电路、URAT、FSK\PSK\MASK调制、波形发生器等。适合工程参考-VHDL package, including the LED control, LCD control, DAC0832 Interface Circuit, URAT, FSK \ PSK \ MASK modulation, such as waveform generator. Reference for the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1133924
    • 提供者:
  1. led

    0下载:
  2. 适用于FPGA初学者,一个流水灯的程序,用VERILOG语言写的.-Applicable to FPGA beginners, a procedure for light water, using the Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:218067
    • 提供者:
  1. shuzizhong

    0下载:
  2. 可预置数字钟,用VHDL语言编写,LED显示,普通数字钟表。-Digital clock can be preset using VHDL language, LED display, an ordinary digital watch.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1422524
    • 提供者:pj
  1. lift3

    0下载:
  2. 本程序是三层电梯程序,包括控制部分、led数码管显示部分,并可由此程序模仿写出任意层次的电梯程序-This procedure is a three-lift procedures, including control of some, led digital tube display, and the resulting program-level imitation write arbitrary lift procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1853
    • 提供者:zhaohongliang
  1. SimpleBehavioralSRAMModel

    0下载:
  2. HC164用来驱动数码管以及LED指示灯,动态扫描数码管的是利用视觉暂留的特性进行显 示景物引起人的视觉印象,在景物消失后还能在视网膜上保持0。1秒的时间叫做视觉暂 留。可以将数据刷新速率可以为10Hz(0.1s),同时我们需要对四位数据进行扫描,因此 数据刷新速率最低应该为10Hz×4。最高可以为50MHz(HC164可以工作在50-175MHz)。 根据实际情况我们可以定为 762.939453125 = 50MHz因此接口处led,seg_value,dot数据的变化速率最
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4297
    • 提供者:食肉鸟
  1. led

    0下载:
  2. 用VHDL编写的一个LED显示程序,展示一种全新的LED设计-VHDL prepared using a LED display program to display a new LED design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1061
    • 提供者:李鑫
  1. freqm

    0下载:
  2. a simple implementation of a frequency meter with the BCD-counter and the 7-segment LED display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13084
    • 提供者:wangfeng
  1. word

    0下载:
  2. Code was successfully implemented within ALtera FPGA with Quartus 6.0. It presents two polish own female names: ULA and ALA whose are scrolling on the 4-columns crystal LED. When you press the switch it will turn from ULA into ALA and continue scroll
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1196
    • 提供者:Gooreck
  1. 04_dynamic_hex2

    0下载:
  2. This is 7-segment LED contoler in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7344
    • 提供者:darek
  1. ledhzxs

    1下载:
  2. 以FPGA芯片为核心,扩展必要的外围电路,制作一个16*16LED点阵的汉字显示屏,使之能显示16*16LED点阵的汉字4个,如“一”,“二”,“三”,“四”等。要求显示的汉字无闪烁。每个汉字停留时间1秒。-To FPGA chip as the core, the expansion of the necessary external circuit, producing a lattice of 16* 16LED display of Chinese characters so that
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:263447
    • 提供者:庄青青
  1. miaobiao.RAR

    0下载:
  2. 实验采用七段码LED设计(数码管),显示直观;采用定时器中断,计时更准确;功能齐全,可随时启动、停止、清零,后者智能化程度更高。-Seven-Segment LED code using the experimental design (digital control), visual display using timer interrupt, a more accurate time functions, may at any time to start, stop, cleared,
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:34047
    • 提供者:cuipinpin
  1. pinlvji

    0下载:
  2. 频率计VHDL编程。设计一个4位数字显示的十进制频率计,其测量范围为1MHz,测量值通过4个数码管显示以8421BCD码形式输出,可通过开关实现量程控制,量程分10kHz、100kHz、1MHz三档(最大读数分别为9.999kHz、99.99kHz、999.9kHz); 当输入信号的频率大于相应量程时,有溢出显示。 -Cymometer VHDL programming. Design of a 4-digit decimal display frequency, the measure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:90168
    • 提供者:zhangyi
  1. xunhuandeng

    0下载:
  2. 在spartan-3e上利用八个led实现流水灯效果-Spartan-3e in the use of eight led lights to achieve the effect of flowing water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4929
    • 提供者:唐江洲
  1. tingchechang

    0下载:
  2. 停车场显示是日常生活中使用很平常的系统,停车场显示系统的核心是LED显示。本次设计使用KH-310实验箱,使用点阵模块和数码管模块,根据显示的扫描原理,利用8X8点阵模拟实际停车位并由数码管显示车位信息。- Parking is a show system that everyday life is usual to use the information.parking display system is the core of the LED display. The design of
  3. 所属分类:SCM

    • 发布日期:2017-05-07
    • 文件大小:1097561
    • 提供者:huangyang
  1. C20_SD

    0下载:
  2. FPGA应用如sd卡控制,led控制,vga音频控制-Sd card FPGA applications such as control, led control, vga audio control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1172666
    • 提供者:钟灶生
  1. addDisplay

    0下载:
  2. 四人抢答器,用quartus编译过的,vhdl语言,说明详细,欢迎各位下载,-add display led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:330954
    • 提供者:吴小平
  1. LEDpingsheji

    0下载:
  2. LED显示屏控制系统及驱动程序的研究与设计(优秀硕士毕业论文)-LED display driver control systems and research and design (excellent Master Thesis)
  3. 所属分类:SCM

    • 发布日期:2017-05-09
    • 文件大小:1710308
    • 提供者:wang
« 1 2 3 4 56 7 8 9 10 ... 23 »
搜珍网 www.dssz.com