CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - led vhdl

搜索资源列表

  1. ps2test

    0下载:
  2. PS/2键盘接口实验: 将PC键盘接入板上PS/2接口,按下键盘任意键,LED等将显示键盘传来的编码-PS/2 keyboard interface experiment: the PC keyboard, access to on-board PS/2 interface, press any key on the keyboard, LED, etc. The code will display the keyboard came
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:106831
    • 提供者:panda
  1. senduard_50m

    0下载:
  2. 串口发送: 使用串口发送程序接收二进制码(9600波特率) ,用拨码开关控制发送二进制的高四位,按板上的第二个按钮,LED灯会相应的亮起,PC 会收到相应的数据-Serial port to send: Use the serial port to send a program to receive a binary code (9600 baud), with DIP switch control to send binary high-4, according to board the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:194879
    • 提供者:panda
  1. led

    0下载:
  2. 硬件描述语言VHDL的彩灯程序,对于初学者有一定借鉴意义.-Hardware Descr iption Language VHDL of the Lantern program have a certain referential significance for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:584
    • 提供者:czm
  1. 1602huibianchengxu

    0下载:
  2. 用VHDL语言实现LED模块的显示字符功能 适合于初学者使用 -VHDL language implementation with LED display modules suitable for beginners to use character functions
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:912
    • 提供者:鲁尼
  1. spi_top_as3693

    0下载:
  2. LED 驱动器AS3693的控制器代码。适合做LED控制的人-spi interface for led driver as3693
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:252632
    • 提供者:黄国鹏
  1. Seven-Segment-Decoder

    0下载:
  2. 用VHDL语言在FPGA上实现将十进制bcd码转换成七段led显示码-FPGA using VHDL language to achieve will be converted to decimal bcd yards led seven segment display code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:533
    • 提供者:吴金通
  1. lab8

    0下载:
  2. 此實驗中我們將量 測人的反應時間,由於人的反應時間遠比起內建CLOCK的週 期長的多,因此要對CLOCK做除頻的動作方可適用,並方便 於計數 器的計算與 七段顯示器的呈現。實驗內容為,當看到LED亮 起時,立 即做出反應將計數 器停 下,並顯示出當時計數 器之時間。計數 器以兩 位數 BCD counter來 實現並將結果 顯示於七段顯示器上。-Vo
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:141811
    • 提供者:徐小華
  1. armledctl

    0下载:
  2. EPM240+IS61LV1024+VERILOG实现LED显示控制,1红+1绿,1280*512,与AT91SAM7S64接口-EPM240+ IS61LV1024+ VERILOG to achieve LED display control, 1 red+ 1 green, and 1280* 512, and AT91SAM7S64 Interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:566054
    • 提供者:刘聪
  1. s3esk_startup

    0下载:
  2. 利用kcpsm3控制lcd显示 平台:ise 10.1, picoblaze, Spartan3e 开发板 说明:综合按键和lcd、led的功能,思想简单,需要新技术,适合想在fpga方面深造的人。-using kcpsm3 for lcd display platform: ise 10.1, picoblaze, Spartan-3E FPGA Starter Kit Board comment: involve lcd/led/switch, simple mind bu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1106582
    • 提供者:kn
  1. Kbtestbench

    0下载:
  2. VHDL编写的Keyboard control使用ps2 keboard来使fgpa的led上显示键盘的二进制代码,用4个7seg来显示0-9的数字,该程序包含testbench.-ps2 keyboard controller which could enable led on fgpa to show the binary code of each key on ps2 keyboard and another four 7segment will display the number fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2279
    • 提供者:hongwan
  1. Led_Lcd_vhdl

    0下载:
  2. Lcd led 2x16 2009 china2009
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:946939
    • 提供者:aliasder
  1. automat

    0下载:
  2. 自动售货机:1、通过开关选择购买6角或8角的邮票;2、按下购买键,开始购买;3、按相应键,输入1角、5角、1元硬币;4、当输入硬币总值大于等于欲买邮票价值时,相应邮票输出并找零(邮票输出,找零均用LED灯表示,找零也有1角、5角、1元三种)5、若想终止交易,可按退钱键,退出已输硬币,交易结束。-Vending machines: one, through the switch to choose to buy six cents or 8 corner stamps 2, press the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-11
    • 文件大小:991110
    • 提供者:duj
  1. led_zfsj

    0下载:
  2. 现场可编程门阵列( FPGA) 是一种可编程逻辑器件, 它具有丰富的I/O 口及内部资源, 编程和修改极为方便, 并且易于扩展和维护, 简化电子电路的设计。本系统采用Altera 公司的FLEX10K作为核心器件, 结合VHDL程序, 实现了对LED 点阵显示字符的控制。-Field programmable gate array (FPGA) is a programmable logic device, which has a wealth of I/O port and internal
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:32812
    • 提供者:x
  1. ds32c35

    0下载:
  2. ds32c35是dalas生产的实时时钟(RTC)芯片,本程序(在EP2C8Q208C8N上调试通过)在FPGA上构建I2C接口于此时钟芯片通信。可以在LED上动态实时显示时间。利用本程序也可以改编成高精度实时时间测量的程序-ds32c35 is produced by dalas real-time clock (RTC) chip, this program (in the EP2C8Q208C8N debugging via) in the FPGA built this clock ch
  3. 所属分类:Other systems

    • 发布日期:2017-05-15
    • 文件大小:3898648
    • 提供者:mn
  1. SEG7_Timer

    0下载:
  2. 数码管显示,基于FPGA实现的数码管显示-LED display
  3. 所属分类:CSharp

    • 发布日期:2016-01-26
    • 文件大小:9092112
    • 提供者:小欣
  1. sdram

    0下载:
  2. 程序说明: 本次实验控制开发板上面的SDRAM完成读写功能。 先向SDRAM里面写数据,然后再将数据读出来做比较,如果不匹配就通过LED变亮显示出来,如果一致,LED就不亮。 part1是使用Modelsim仿真的工程 part2是在开发斑上面验证的工程 目录说明: part1: part1_32是4m32SDRAM的仿真工程 part1_16是4m16SDRAM的仿真工程 \model文件夹里面是仿真模型 \rtl文件夹里面是源文件 \sim文
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:779102
    • 提供者:军军
  1. song

    0下载:
  2. module song(clk,key,song_out,led) input [7:0] key input clk output song_out output [7:0] led reg song_reg reg [21:0] count reg [19:0] delay reg [7:0] key_reg always @(posedge clk) begin count=count+1 if((count==de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:365745
    • 提供者:罗仲景
  1. 7segmentLED

    0下载:
  2. 7段数码管显示源代码。基于VHDL语言,实现对7段数码管显示。-7 segment LED display source code. Based on the VHDL language, achieving seven segment LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3422
    • 提供者:xiaokun
  1. LED_blinking

    0下载:
  2. LED灯的控制代码,书籍上的经典案例,好代码-LED control code
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-17
    • 文件大小:40024
    • 提供者:魏强
  1. top

    0下载:
  2. 实现定时器功能,分别有秒针,分钟,小时,到一天后led灯闪烁一下。-To achieve timer function, respectively, seconds, minutes, hours, to one day look after the led light flashes.
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:989
    • 提供者:crystal
« 1 2 3 4 5 6 78 9 10 11 12 ... 23 »
搜珍网 www.dssz.com