CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - modelsim

搜索资源列表

  1. ddsmatlab

    0下载:
  2. dds在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-dds dspbuilder under the VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6896
    • 提供者:zqh
  1. mxuliematlab

    1下载:
  2. m序列在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-m sequence in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5676
    • 提供者:zqh
  1. sinmdlmatlab

    0下载:
  2. 正弦波在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-sine wave in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6169
    • 提供者:zqh
  1. VerilogHDLPLI

    0下载:
  2. Verilog HDL的PLI子程序接口,用于与用户C程序在2个方向上传输数据,可用xilinx ISE,quartusii或modelsim仿真,-Verilog HDL PLI subroutine interfaces, for C program with the user in the direction of two transmission of data, available xilinx ISE. quartusii or modelsim simulation,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:杨锐
  1. ModelSim6c_SE_Cracker

    0下载:
  2. crack for ModelSim, a Verilog, VHDL and mixed VHDL / Verilog CAD simulator for FPGA, board and IC design.-crack for ModelSim, a Verilog. VHDL and mixed VHDL / Verilog simulator for CAD F PGA, board and IC design.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:292684
    • 提供者:陈亨利
  1. shift_register_testbench

    1下载:
  2. 16位的移位寄存器,加上testbench,可以在modelsim里面运行~-16 of the shift register and testbench, modelsim the inside running ~
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:24165
    • 提供者:yeqing
  1. FFT_CORE

    0下载:
  2. FFT算法的VHDL语言实现 可在Modelsim上运行和调试 -FFT algorithm VHDL in the operation and Modelsim Debugging
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29769
    • 提供者:紫蓝
  1. IIS2BT656

    0下载:
  2. 本程序功能为将音频的IIS数据插入bt656数据中一起传输。在程序中,sdata并不从外界输入,而是由内部的一个16位的counter并串转换产生,以此来检测程序在串并转换sdata时是否有遗漏。 本程序并未经过实测,但ModelSim的仿真结果正确。-this program will function as audio data into IIS bt656 together data transmission. In the process, not from outside sdat
  3. 所属分类:Audio

    • 发布日期:2008-10-13
    • 文件大小:306232
    • 提供者:龙子
  1. SPI_verilogHDL

    2下载:
  2. 本原码是基于Verilog HDL语言编写的,实现了SPI接口设计,可以应用于FPGA,实现SPI协议的接口设计.在MAXII编译成功,用Modelsim SE 6仿真成功.-primitive code is based on Verilog HDL language, and achieving the SPI interface design, FPGA can be used to achieve agreement SPI interface design. MAXII success
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1731
    • 提供者:jevidyang
  1. pwm_higt

    0下载:
  2. modelsim设计的可调占空比的方波程式-modelsim designed adjustable duty cycle of the square wave program
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1048
    • 提供者:yanfei
  1. firfpga

    0下载:
  2. 在利用FPGA实现数字信号处理方面,分布式算法发挥着关键作用,与传统的乘积-积结构相比,具有并行处理的高效性特点。详细研究了基于FPGA、采用分布式算法实现FIR数字滤波器的原理和方法,并通过Xilinx ISE在Modelsim下进行了仿真。 -FPGA using digital signal processing, distributed algorithm plays a key role with the traditional product-plot structure compa
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:228801
    • 提供者:yaoming
  1. pic16c57code

    0下载:
  2. 此代码可用modelsim进行仿真,修改rom之后可用quartusII进行综合,希望你们能对此程序不断完善。-modelsim this code can be used for simulation, After amending rom available quartusII comprehensive and hope that you can constantly improve this procedure.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:69074
    • 提供者:俞志杰
  1. hdb3_verilog

    0下载:
  2. modelsim工程,用verilog实现的HDB3编码,以及测试程序testbench-modelsim works with verilog realized HDB3 coding, and testing procedures testbench
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23041
    • 提供者:chengroc
  1. ModelSim_TestBench_VHDL

    0下载:
  2. ModelSim TestBench的VHDL模版-ModelSim VHDL template TestBench
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1468
    • 提供者:汤维
  1. ModelSim_SE_tigeress359617728

    0下载:
  2. modelsim十分钟入门——初学者很容易上手-modelsim 10 minutes portal -- beginners can easily drop
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:5958
    • 提供者:wei
  1. ModelSim_foundation

    0下载:
  2. 用实际例子介绍了仿真软件modelsim的基本使用方法,适用于初学者-with practical examples of simulation software modelsim use of the basic method applied to beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:96297
    • 提供者:刘素珍
  1. adc8888

    0下载:
  2. 8位的a/d行为模型,可以应用于modelsim等环境下的仿真,不可综合。-eight of the a / d behavior model can be applied to other environments modelsim the simulation, not comprehensive.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2029
    • 提供者:江浩
  1. sram__

    0下载:
  2. 静态随机读取存储器行为模型,可以应用于modelsim环境的仿真。-static random acts of reading memory model can be applied to the simulation environment modelsim.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2366
    • 提供者:江浩
  1. oem_man

    0下载:
  2. Modelsim使用教程,英文版,从新建工程到完成仿真讲的非常详细,适合初学者。-Modelsim use guides, in English, from new construction to be completed simulation in a very detailed, for beginners.
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:2045503
    • 提供者:snake
  1. modelsim_guide_cn

    0下载:
  2. modelsim操作指导 很适合入门 有实例-modelsim operation guidance is very suitable example of a portal
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:342263
    • 提供者:大师
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 50 »
搜珍网 www.dssz.com