CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - modulation verilog

搜索资源列表

  1. MPSK

    0下载:
  2. MPSK调制与解调系统设计和VHDL程序与仿真-MPSK modulation and demodulation system design and simulation of VHDL procedures and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:80185
    • 提供者:jack wolf
  1. module_dem

    2下载:
  2. 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:6068389
    • 提供者:yu
  1. 50846288C

    0下载:
  2. verilog 硬件编程实现bpsk调制-verilog hardware, programming bpsk Modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:50884
    • 提供者:凡要林
  1. qam_64

    1下载:
  2. 64QAM调制,采用硬件语言verilog实现,其中调用了DDS的IP核-64QAM modulation, using language verilog hardware implementation, which is called the IP core of the DDS
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2015-01-16
    • 文件大小:1055
    • 提供者:zhujing
  1. verilog_16QAM

    2下载:
  2. 使用verilog实现全数字16QAM调制器,载波频率1MHZ,数据比特流的速率为100Kbps,-the modulation of 16QAM based on FPGA
  3. 所属分类:通讯/手机编程

    • 发布日期:2014-01-05
    • 文件大小:2056
    • 提供者:杨明
  1. FSK_MOD_my

    1下载:
  2. verilog语言设计的用于fsk调制的源码-verilog language design for fsk modulation source
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-09
    • 文件大小:227303
    • 提供者:咕嘟大树
  1. qpsk_module

    2下载:
  2. 采用Verilog语言编写了一个qpsk调制的程序-Verilog language using a modulation process qpsk
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:318604
    • 提供者:inves
  1. examples

    0下载:
  2. 二进制差分编码解码,二进制差分相移键控二进制幅移键控,二进制相移键控,二进制频移键控最小频移键控的调制与解调-Differential encoding and decoding binary, binary differential phase shift keying binary amplitude shift keying, BPSK, binary frequency shift keying Minimum Shift Keying modulation and demodulati
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:4664
    • 提供者:xvlu
  1. lqx_fsk_ok

    0下载:
  2. 模块化实现2FSK调制,简单易懂非常适合初学者学习参考.-Modular realization 2FSK modulation, easy to understand reference is suitable for beginners to learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:315273
    • 提供者:ikhik
  1. DSB3

    1下载:
  2. 利用ISE软件编写的Verilog程序,可以进行信号的双边带调制-Using ISE software program written in Verilog, can be bilateral with a modulation signal
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-09
    • 文件大小:942562
    • 提供者:蜡笔
  1. ofdm

    0下载:
  2. ofdm调制解调的fpga实现。使用Verilog实现IEEE 802.16a系统的调制解调模块。-ofdm modulation and demodulation of fpga implementation. Verilog implementation using IEEE 802.16a system, modem module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1752
    • 提供者:张维
  1. msk

    0下载:
  2. fsk调制的verilog源代码,对于学习通信和微电子的人应该会有帮助-fsk verilog source code modulation, communications and microelectronics for the study should be helpful people
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-05
    • 文件大小:2048
    • 提供者:李博
  1. Pulse_Width_Modulator_Altera_MAX_II_CPLD_Design_Ex

    0下载:
  2. 来自于ALTERA官方网站。 本文档详细介绍怎样利用MAX® II CPLD 来实现脉冲宽度调制(PWM)。本设计还利用了MAX II CPLD 的内部用户闪存振荡器,不需要采用专门的外部时钟。 附有verilog源程序。-From ALTERA website. This document details how to use the MAX ® II CPLD to implement pulse width modulation (PWM). This design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:291381
    • 提供者:无小品
  1. BPSK

    1下载:
  2. 用于BPSK调制的自行设计,说明如下: 1.matlab.txt中的程序是matlab平台下的.mat格式。目的是输出一个64*4的矩阵,矩阵的每个元素都为0~255间的整数。矩阵每行的四个数是一个码元的四个抽样点的量化值。但由于当前码元通过升余弦滤波系统时,受到前后共6个码元的共同影响,所以是由6个码元共同决定。这6个码元是随机的,可能是0也可能是1(双极性时可能是-1也可能是+1),故6个码元共2^6=64种情况,所以产生的矩阵是64*4。最后逐行输出这256个数。 2.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:4382
    • 提供者:
  1. verilog

    0下载:
  2. 用verilog编写的代码,实现了16QAM调制解调功能-the realization of 16QAM modulation and demodulation on Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:8947
    • 提供者:焦栋
  1. psk

    2下载:
  2. 2PSK调制 verilog语言 ISE10.1希望各位用得着-2PSK modulation verilog language ISE10.1 hope the you need it
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-11
    • 文件大小:791790
    • 提供者:zhangjianguo
  1. ppm

    0下载:
  2. ppm调制的verilog代码 可实现ppm调制-ppm modulation verilog code ppm modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:836
    • 提供者:yanfeng
  1. MSK-Verilog

    2下载:
  2. mak调制的fpga实现程序,基于fpga的vl文件,很好用,实用-mak modulation of fpga implementation procedures based fpga vl file, useful, practical
  3. 所属分类:MPI

    • 发布日期:2015-01-18
    • 文件大小:6144
    • 提供者:高凡
  1. ad9957-verilog

    2下载:
  2. 正交调制芯片,.v文件,但是没有说明文件,只能作为参考-Quadrature modulation chip,. V file, but no documentation, only as a reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1707
    • 提供者:张路平
  1. 16QAM-modulation-based-on-FPGA

    1下载:
  2. 基于FPGA的16QAM调制程序,基于verilog开发环境-16QAM modulation program based on FPGA-based development environment verilog
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:70644
    • 提供者:赵国强
« 1 23 4 5 6 »
搜珍网 www.dssz.com