CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - music fpga

搜索资源列表

  1. music_top

    0下载:
  2. FPGA 乐曲播放器实例源码,是用verilog语言编写的-The FPGA music player instance source Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:221335
    • 提供者:幻雾
  1. aircity

    1下载:
  2. 通过FPGA开发板上的蜂鸣器实现对乐曲天空之城的演奏,编码比较简单,主要是提供一种思路-Through the FPGA development board buzzer realize music playing Laputa, coding is relatively simple, the main idea is to provide a
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-23
    • 文件大小:633954
    • 提供者:xueyuan
  1. 11223

    0下载:
  2. 通过使用EDA工具,设计实现简易音乐播放器。在结合各个数字功能模块并利用FPGA系统本身丰富的物理资源的同时,将音乐的乐谱设计在FPGA内部,在Quartus II环境下,采用Verilog HDL 语言实现音乐合成器和播放系统。-By using EDA tools, design and implementation simple music player. The integration of the various functional modules and the use of F
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:3673
    • 提供者:guoting
  1. new1

    0下载:
  2. ISE基于FPGA的躲避球游戏 含无线模块,背景音乐-ISE FPGA-based dodgeball game with wireless module, background music
  3. 所属分类:Other systems

    • 发布日期:2017-12-02
    • 文件大小:5177729
    • 提供者:高之琳
  1. EXP6_song

    0下载:
  2. 在FPGA中实现硬件音乐播放,以录如三首歌曲文件-Implemented in FPGA hardware music player to record three songs such as file
  3. 所属分类:Other systems

    • 发布日期:2017-12-03
    • 文件大小:296504
    • 提供者:keith
  1. e_piano

    0下载:
  2. 基于FPGA的硬件电子琴设计,自己设计属于自己的音乐吧,DIY你的电子琴-FPGA-based hardware keyboard design, their own music bar design, DIY your keyboard
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:128527
    • 提供者:xiaokai
  1. EXP42_RS232_PIANO

    0下载:
  2. 在EP3C5E上进行试验,PC机检测到PS2键盘,将键盘的数值通过串口传输给fpga,fpga驱动蜂鸣器发出音乐。-Tested on the EP3C5E, PC machine detects the PS2 keyboard, the keyboard' s numeric via the serial transmission to the fpga, fpga drive buzzer music.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:876642
    • 提供者:恣意
  1. DE2_115_SD_Card_Audio_Player

    0下载:
  2. FPGA project demonstrate how to play music stored on SD card via WM8731 Audio Codec
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2382493
    • 提供者:KWIer
  1. main

    0下载:
  2. 采用现场可编程逻辑器件(FPGA)制作,利用EDA软件中的verilog HDL硬件描述语言控制进行控制,然后烧写实现.按键7~1分别用于七个音符的发音(DO,RE,MI,FA,SO,LA,SI),同时LED灯点亮。按键8和9用于控制乐曲的播放,可以选择三个曲子的播放。-Using field-programmable logic device (FPGA) production, the use of EDA software verilog HDL hardware descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2480
    • 提供者:
  1. fpga_song

    0下载:
  2. FPGA Verilog 纯硬件程序实现播放音乐!-Pure hardware program FPGA Verilog play music!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:166404
    • 提供者:才传
  1. music_player

    0下载:
  2. 基于modelsim和FPGA的音乐播放器-Modelsim and FPGA-based music player
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:21599
    • 提供者:Peter
  1. FPGA_music_player

    0下载:
  2. vhdl音乐播放器,适合于FPGA,方便大家在毕业设计时使用-Music player of vhdl
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-17
    • 文件大小:169184
    • 提供者:stefan
  1. songer

    0下载:
  2. 在FPGA芯片上实现乐曲发声的完整程序设计,对初学者很有用-In the FPGA chip to achieve a complete vocal music programming, is useful for beginners
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1320
    • 提供者:evenmo
  1. musicplayer

    0下载:
  2. 利用FPGA开发板做的音乐播放器,可以播放四首简单的歌曲,通过外接耳机即可收听-Using FPGA development board to do a music player that can play four simple song, you can listen through external headphones
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:43647
    • 提供者:吴佳祥
  1. VHDL

    0下载:
  2. 蜂鸣器奏乐程序,FPGA控制不同频率波形-Buzzer music program, FPGA control of different frequency waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:404459
    • 提供者:qianjie
  1. DE2_SDCardAudio

    0下载:
  2. 基于FPGA的SD卡音乐播放器,附整个工程及相关说明。- music player based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2190435
    • 提供者:张平安
  1. DE2_SD_Card_Audio(quartus-9.0)

    1下载:
  2. 本代码为Altera DE2开发板例程源码(EP2C35F672C6),quartus II 9.0以上版本均可编译(随板光盘为quartus II 7.2版在9.0以上版本上编译会报错)。本工程实现SD的音频播放器,即通过FPGA控制SD卡,读取SD的音频文件,通过WM8731进行播放。-In this demonstration we show how to implement an SD Card Music Player on the DE2 board, in which th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10077775
    • 提供者:chenxin
  1. VHDL

    0下载:
  2. FPGA开发板使用的一些实例,包括乐曲演奏器、1602液晶的多屏使用、液晶显示汉字、时钟。-Some examples of the use of FPGA development board, including the music player, the use of multi-screen LCD 1602, LCD characters, clock.
  3. 所属分类:Other systems

    • 发布日期:2017-05-17
    • 文件大小:4242651
    • 提供者:li
  1. automusic

    0下载:
  2. 基于VHDL语言自动音乐播放器,使用惠灵顿公司的FPGA器件,可以实现两首音乐手动切换,以及音符数码管同步显示-Based on VHDL automatic music player, use Wellington s FPGA devices, you can achieve two music manually switch, and notes synchronized digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:926
    • 提供者:Bin
  1. chore

    0下载:
  2. 大学课程基于FPGA单音音乐芯片的设计与实现-University course is based on the design and implementation of FPGA monophonic music chip
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5041
    • 提供者:王策
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com