CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ping pong

搜索资源列表

  1. Senior-Advanced-FPGA-design

    1下载:
  2. FPGA设计高级进阶,讲述了流水线,乒乓操作,异步时钟域处理,状态机等内容-Senior Advanced FPGA design, about the line, ping-pong operation, asynchronous clock domain processing, state machine, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-27
    • 文件大小:1050624
    • 提供者:赵计勇
  1. FPGA-design-ideas-and-techniques

    1下载:
  2. FPGA 设计的四种常用思想与技巧包括:乒乓操作,流水线操作,串并转换技巧,数据接口同步方法-The four commonly used FPGA design ideas and techniques include: ping-pong operation, pipelining, and convert the string technique, synchronous data interface methods
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-27
    • 文件大小:108544
    • 提供者:salvary
  1. taiqiu

    0下载:
  2. 一个DOS环境下的台球源代码,MYTC测试通过.- Under a DOS environment ping-pong source code, the MYTC test passes
  3. 所属分类:

    • 发布日期:2017-11-09
    • 文件大小:3002
    • 提供者:陈静
  1. caiji1

    0下载:
  2. 利用两个双口ram做的乒乓操作,采集高速大容量数据,fpga写,arm读-Two dual-port ram to do the ping-pong operation, collecting high-speed large-capacity data, fpga write, arm reading
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6946332
    • 提供者:李遥
  1. ddpi_tx

    0下载:
  2. verilog语言编写的一个接口文件,使用乒乓ram-verilog language of an interface file, use the ping-pong ram
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:724
    • 提供者:yaop
  1. sep99

    0下载:
  2. 国外游戏开发者杂志1999年第九期配套代码,包含Jeff Lander的关于台球游戏的例子和代码--Code of game development magazine in 1999 the ninth issue, contains Jeff Lander`s example and code about ping-pong game
  3. 所属分类:Game Program

    • 发布日期:2017-11-23
    • 文件大小:189180
    • 提供者:站长
  1. d12_usb

    0下载:
  2. D12固件程序,以乒乓方式自外部FIFO中读取图像数据,发送至PC-D12 firmware procedures to ping-pong manner from an external FIFO read image data, sent to the PC
  3. 所属分类:USB develop

    • 发布日期:2017-04-26
    • 文件大小:82966
    • 提供者:郭伟
  1. ping_pong

    1下载:
  2. 基于ZIGBEE无线网络的水表抄表程序 代码完整,注释祥细。非常好的一个例子- Based on the ZigBee wireless network meter-reading process meter 。Code integrity
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:4959
    • 提供者:duxiaowen
  1. pingpangVHDL

    0下载:
  2. 据说是 vhdl的乒乓ram 代码 提供给大家做个参考吧 -It is said VHDL code of the ping-pong ram available to the U.S. to be a reference to it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:919
    • 提供者:白饭
  1. dec6713_edma

    0下载:
  2. seed dec6713的EDMA测试程序,采用PING-PONG 操作-seed dec6713 the EDMA test procedure, using PING-PONG operation
  3. 所属分类:DSP program

    • 发布日期:2017-04-17
    • 文件大小:57588
    • 提供者:judf
  1. hdl

    0下载:
  2. 网上流传的用来实现FPGA驱动VGA,从而实现一个pingpong小游戏的源码,实测可用。-a program embedded in a FPGA in order to drive the VGA and realize a little game named pingpong. tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1552
    • 提供者:escut
  1. Pingpong

    0下载:
  2. This a classical game of Ping-Pong-This is a classical game of Ping-Pong
  3. 所属分类:Other Games

    • 发布日期:2017-04-15
    • 文件大小:7119
    • 提供者:saghar3
  1. ping.pens.fla

    0下载:
  2. simple pong game source code (fla) using webcam.. Regards Dedi Kustiawan
  3. 所属分类:Other Games

    • 发布日期:2017-04-01
    • 文件大小:270942
    • 提供者:Dedi Kustiawan
  1. ping.pens.pps

    0下载:
  2. simple pong game source code (pps) using webcam.. Regards Dedi Kustiawan
  3. 所属分类:Other Games

    • 发布日期:2017-04-02
    • 文件大小:135145
    • 提供者:Dedi Kustiawan
  1. ping.pens.pdf

    0下载:
  2. simple pong game source code (pdf) using webcam.. Regards Dedi Kustiawan
  3. 所属分类:Other Games

    • 发布日期:2017-04-16
    • 文件大小:205559
    • 提供者:Dedi Kustiawan
  1. pingpong

    0下载:
  2. 简单的乒乓类游戏,在对话框中用键盘<- ->健控制挡板,把阻止小球弹出挡板之后。-Simple ping-pong-type games, in the dialog box using the keyboard <--> Kin-control baffles, the baffle to prevent pop-up after the ball.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:18394
    • 提供者:韦必忠
  1. DSPimagebuffer

    0下载:
  2. dsp在图像处理时的缓冲存储机制以及乒乓缓冲区的建立方法-dsp used in image processing ,about how to build a PING-PONG buffer in the dsp memory
  3. 所属分类:Special Effects

    • 发布日期:2017-04-02
    • 文件大小:309900
    • 提供者:小华
  1. PingPong

    0下载:
  2. Ping pong sound horrible.. it s best game ever-Ping pong sound horrible.. it s best game ever..
  3. 所属分类:Other Games

    • 发布日期:2017-04-07
    • 文件大小:12118
    • 提供者:vishal
  1. tr24_pp

    0下载:
  2. Two module TR24B(EM198810) + ATMega8L Ping Pong-Two module TR24B(EM198810)+ ATMega8L Ping Pong
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:2881
    • 提供者:Alex
  1. func_oevelse

    0下载:
  2. A game with a moveable bar like in ping-pong. Orbs fall down and u need to have 1 color hit u, and another color not hit u. if u get the right color u get +1 point, if a wrong color hits u, u get -1 point and the bar disappears for a sec. Using Enter
  3. 所属分类:Other Games

    • 发布日期:2017-03-31
    • 文件大小:7990
    • 提供者:tmaneo
« 1 2 34 5 6 7 »
搜珍网 www.dssz.com