CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ping pong

搜索资源列表

  1. PingPongGame

    0下载:
  2. simple ping pong game using java .developed on netbeans just import the file in netbeans go nuts.
  3. 所属分类:Shot Game

    • 发布日期:2017-04-08
    • 文件大小:18403
    • 提供者:arjun
  1. Pingpong

    0下载:
  2. A Altera DE-2 ping pong game which using a PS/2 keyboard to control.VGA port of DE-2 will be the output of the game video.The sources code build from VHDL code on Quartus II.-A Altera DE-2 ping pong game which using a PS/2 keyboard to control.VGA por
  3. 所属分类:Other Games

    • 发布日期:2017-06-11
    • 文件大小:18709745
    • 提供者:kkddaa
  1. PCI9054

    1下载:
  2. PCI9054控制器+乒乓SRAM读写控制器,是一份很好的初期学习程序,很简单 易懂-PCI9054 controller+ ping-pong SRAM write controller, is a very good early learning program, it is easy to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-28
    • 文件大小:20480
    • 提供者:张程
  1. pingpong_operation_FIFO

    0下载:
  2. 通过fifo实现乒乓操作的功能,具有数据缓存的作用,特别适用于高低速的数据传输-Ping-pong operation realized by fifo function has the effect of data cache, especially suitable for high speed data transmission
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1906369
    • 提供者:钟朗朗
  1. FIR-FILTER

    0下载:
  2. 用于CCS环境下的FIR滤波器设计程序。使用PING PONG 结构,256位数据。-FIR FILTER
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-14
    • 文件大小:3530
    • 提供者:Cher
  1. myProject

    0下载:
  2. A simple game in OpenGL i developed called Ping Pong with AI-A simple game in OpenGL i developed called Ping Pong with AI!!!
  3. 所属分类:Shot Game

    • 发布日期:2017-05-06
    • 文件大小:1037570
    • 提供者:manish
  1. tr24_pp

    0下载:
  2. Two module TR24B(EM198810) + ATMega8L Ping Pong-Two module TR24B(EM198810)+ ATMega8L Ping Pong
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2973
    • 提供者:thfirs
  1. 1756456

    0下载:
  2. 设计了一种基于TMS320C6455与FPGA 的实时图像跟踪系统,该系统首先采用MAX9526 采集图像,利用FPGA 对图像进行均值滤波,滤波后数据采用乒乓方式传输给DSP。Mean Shift 跟踪算法采用图像像素灰度距离中心点的距离作 为目标特征建立核函数,实现对目标的实时跟踪。实验表明,该系统具有良好的实时性与稳定性。-Designed a real-time image-based tracking system TMS320C6455 and FPGA, the system
  3. 所属分类:Special Effects

    • 发布日期:2017-05-09
    • 文件大小:1670195
    • 提供者:rambolyb
  1. A_Ping_Gam172851442004

    0下载:
  2. A small compact ping pong game, written in Delphi 5, compatible with newer versions. Has issues running windows 8. Does not use any modules or third-party packs. 100 fully coded scratch -A small compact ping pong game, written in Delphi 5, compat
  3. 所属分类:Other Games

    • 发布日期:2017-04-25
    • 文件大小:33871
    • 提供者:johny john
  1. Example-s1-1

    0下载:
  2. 面积和速度的互换是FPGA/CPLD设计的一个重要思想。从理论上讲,一个设计如果时序余量较大,所能运行的频率远远高于设计要求,那么就能通过功能模块复用减少整个设计消耗的芯片面积,这就是用速度的优势换面积的节约;反之,如果一个设计的时序要求很高,普通方法达不到设计频率,那么一般可以通过将数据流串并转换,并行复制多个操作模块,对整个设计采取“乒乓操作”和“串并转换”的思想进行处理,在芯片输出模块处再对数据进行“并串转换”。从宏观上看,整个芯片满足了处理速度的要求,这相当于用面积复制换取速度的提高。面
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:236050
    • 提供者:zhuchaoyong
  1. NatureFree

    0下载:
  2. Ping pong style nature
  3. 所属分类:Java Develop

    • 发布日期:2017-05-16
    • 文件大小:4589054
    • 提供者:unknown99
  1. A-family-of-3

    0下载:
  2. 一个三口之家,大家都知道父亲会开车,母亲会唱 歌。但是其父亲还会修电视机,只有家里人知道。小 孩既会开车又会唱歌甚至也会修电视机。母亲瞒着任 何人在外面做小工以补贴家用。此外小孩还会打乒乓 球。 编写程序测试这三口之家从事的一天活动:父亲先出 去开车,然后母亲出去上班(唱歌),母亲下班后去 做两小时的小工。小孩在俱乐部打球,在父亲回家 后,开车玩,然后又高兴地唱歌。晚上,小孩和父亲 一起修电视机。 后来父亲的修电视机技术让大家都知道了,人们经常 上门要
  3. 所属分类:CSharp

    • 发布日期:2017-04-12
    • 文件大小:640
    • 提供者:liyang
  1. NandBuffer

    0下载:
  2. verilog编写,含三路正弦信号发生器,三路数据乒乓缓存模块。乒乓缓存读写控制采用三段式状态机实现。-The project contains a 3-channel sine generator and a 3-channel ping-pong buffer which is written in verilog. The write and read control of buffer is implemented in 3-segment FSM.
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:8358
    • 提供者:shanhuancui
  1. PPRAM-test

    0下载:
  2. 乒乓缓存,用vhdl编写,用fpga内部ram-Ping-pong buffer, using vhdl to write,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8833828
    • 提供者:任天鹏
  1. HOT_KNIFE20150123

    0下载:
  2. 这是一个MSP430EEPROM的源程序。-Ping-pong for continuous data flow
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-30
    • 文件大小:63632
    • 提供者:SKY
  1. pong2ball

    0下载:
  2. JAVA网页版小游戏,类似乒乓球游戏,适合初学者参考-JAVA Web version game, similar to the ping-pong game, suitable for beginners reference
  3. 所属分类:Games

    • 发布日期:2017-04-14
    • 文件大小:3760
    • 提供者:cryscal
  1. PingPang_buffer_20160526

    1下载:
  2. 源码仿真 乒乓 缓存,实现数据流的传输,含有仿真测试文件,vivado工程。-Source simulation ping-pong cache data stream transmission, the file containing the simulation test, vivado project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2422613
    • 提供者:贾俊超
  1. PingPongGame.java

    0下载:
  2. Ping Pong basic code
  3. 所属分类:Other Games

    • 发布日期:2017-04-12
    • 文件大小:966
    • 提供者:dharmendra
  1. LCD_Graph_PingPong

    1下载:
  2. nuvoton project ping pong
  3. 所属分类:Other Games

    • 发布日期:2017-05-04
    • 文件大小:6185
    • 提供者:ardian
  1. niosII_hw_dev_tutorial

    0下载:
  2. 在DE2开发板上实现的一个简单乒乓球的程序。开发语言-verilog-In the DE2 development board to achieve a simple ping-pong process. Development language verilog
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-06-01
    • 文件大小:13427418
    • 提供者:wanganping
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com