CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pwm 直流电机

搜索资源列表

  1. MCU

    0下载:
  2. 单片机的PWM脉宽调制控制直流电机,希望能对您有用-MCU PWM pulse width modulation control of DC motor, hoping to be useful for you
  3. 所属分类:SCM

    • 发布日期:2017-05-18
    • 文件大小:5018946
    • 提供者:
  1. PWM-Motor

    0下载:
  2. 51单片机控制直流电机加减速,并把速度反映到数码管上-51 single-chip control DC motor acceleration and deceleration, speed and reflected in the digital tube...
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:23015
    • 提供者:项甘霖
  1. TI

    1下载:
  2. 实验一 直流电机闭环控制实验 一. 实验目的 1. 学习数字PID控制器的设计方法 2. 学习PWM控制理论 3. 学习数字PID控制器在DSP上的实现方法。 二. 实验设备 计算机,CCS2.0版软件,THRS-2型实验箱,DSP仿真器,1号导线。、 三. 基础理论 -Experimental motor closed-loop control experiment has been a stream. Purpose of the experiment 1
  3. 所属分类:DSP program

    • 发布日期:2017-04-10
    • 文件大小:1179799
    • 提供者:kongyue
  1. systempwm

    0下载:
  2. 直流电机的闭环控制,包括初始化设置定时器,初始化设置设定INT0的工作方式,设置PWM的脉冲宽度和设定方向等-Closed-loop DC motor control, including the initialization settings timer, initialization settings set INT0 work, set PWM pulse width and set the direction
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2638
    • 提供者:小亮
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. PWM

    0下载:
  2. 详细说明:pwm原程序利用定时器,在P2_5上输出PWM波。为了演示,使占空比从1%~99%再从99%~1%连续变化。频 率约为200Hz。可以用于用于直流电机的驱动等方面
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:74922
    • 提供者:yangwenbin
  1. ul2003

    0下载:
  2. PWM电机驱动模块接口,通过定时模块产生PWM波驱动直流电机工作,如果你手头上没有直流电机,你可以测量一下uln2003的输入口波形,如果你有直流电机,需要在uln2003输出接口上外接上拉电阻到电源,组成你的电机驱动模块。 -PWM motor drive module interface, through the regular wave PWM modules have a DC motor-driven work, if you do not have the DC motor, y
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:612
    • 提供者:王华
  1. DC_Motor_regulator

    1下载:
  2. 本毕业设计包括为基于ATmega单片机的直流电机调速器的软件和硬件部分。系统为双闭环, 可由Proteus软件仿真。 其中软件部分包括七段码显示、PWM输出、测量等环节。-Including the graduation project ATmega microcontroller-based DC motor speed regulator of the software and hardware. Double closed-loop system by Proteus softwa
  3. 所属分类:SCM

    • 发布日期:2017-05-03
    • 文件大小:1034774
    • 提供者:shikun
  1. PWM-DC-MOTOR

    0下载:
  2. 电位器输入电压信号,经过ADC转换作为PWM的控制信号,可连续调节直流电机转速-Potentiometer input voltage signal, the ADC conversion as the PWM control signal, Adjustable Speed DC Motor
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:3248
    • 提供者:张现忠
  1. motor

    0下载:
  2. 利用89C51的定时器产生PWM控制直流电机的转速及方向-89C51 generated using the timer PWM DC motor speed control and direction of
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:442815
    • 提供者:sky
  1. DSP2407controy-D-motor

    0下载:
  2. DSP2407控制直流电机 DSP2407控制直流电机 DSP2407控制直流电机-DSP2407controy DC motor
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:3501
    • 提供者:cjiafeng
  1. PWM_motor

    0下载:
  2. 单片机控制PWM直流电机的应用实例,希望能对您有用-MCU PWM DC motor control application examples, hoping to be useful for you
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:110016
    • 提供者:
  1. C51

    0下载:
  2. quick51实验例程----用pwm方波控制直流电机-quick51 experimental routine---- DC motor control using pwm square wave
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:11118
    • 提供者:wcj
  1. eda

    1下载:
  2. 利用FPGA可编程芯片及Verilog HDL语言实现了对直流电机PwM控制器的设计,对直流电机速度进行控制。介绍了用Verilog HDL语言编程实现直流电机PwM控制器的PwM产生模块、串口通信模块、转向调节模块等功能,该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。同时,控制系统中引入上位机控制功能,可方便对电机进行远程控制。-Using FPGA programmable chip and Verilog HDL language for the desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4268220
    • 提供者:杨汉轩
  1. demo

    2下载:
  2. 下面是目录介绍 ADC---------ADC模数转换实验 ASM---------汇编程序实验 ASM_c-------汇编和C语言混合实验 CF----------CF读写实验 DcMotor-----直流电机实验 DMA——-----DMA数据传输实验 GPRS--------GPRS/GSM通讯实验 GPS---------GPS全球定位实验 IDE---------IDE测试实验 IIC---------IIC读写实验 IIS--------
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-09
    • 文件大小:2073614
    • 提供者:asdfasdf
  1. microcontrollermotorcontrol

    0下载:
  2. AT89S52产生PWM直流电机调速 键控 加减速-PWM DC Motor Speed Keying acceleration and deceleration
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:1143
    • 提供者:藤青
  1. AVR

    0下载:
  2. AVR单片机实现的直流电机PWM调速控制器-AVR Microcontroller for DC Motor PWM Speed Controller
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:151885
    • 提供者:rowllan
  1. DSP2812-Motor

    1下载:
  2. 利用DSP的PWM1和PWM2,然后经过了两级放大控制直流电机,若要改变电机转速或转向,可在DSP28_EV.c文件里按相应注释修改,即实现PWM波来控制电机。-Using DSP-PWM1 and PWM2, and then amplified through a two DC motor control, to change the motor speed, or steering, can DSP28_EV.c file comments by corresponding changes
  3. 所属分类:DSP编程

    • 发布日期:2013-11-28
    • 文件大小:263728
    • 提供者:蔡全
  1. pwm

    0下载:
  2. 利用定时器产生PWM,了解原理和使用方法 硬件要求:直流电机 定时器 试验现象:按键调速,PWM部分显示速度档位,电机根据档位调节速度 这个需要把电机驱动输入端和PWM端连接起来-Generated using the timer PWM, understand and use principles of hardware requirements: DC timer test phenomenon: key speed, PWM part of the display speed ge
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:872
    • 提供者:何中
  1. myautocarsystem

    0下载:
  2. 我平时自己研究的智能循迹小车。三个传感器。可扩展。带PWM直流电机调速。-I usually own research of intelligent tracking car. Three sensors. Scalable. DC motor with PWM speed control.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:931
    • 提供者:小强
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 34 »
搜珍网 www.dssz.com