CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartus

搜索资源列表

  1. 68_alarm_controller

    0下载:
  2. vhdl源程序,在quartus环境下测试,仿真。已经过测试。-VHDL source, the Quartus environment testing, simulation. Has been tested.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3455
    • 提供者:tom
  1. 78_alu_input

    0下载:
  2. vhdl源程序,在quartus环境下测试,仿真。已经过测试。-VHDL source, the Quartus environment testing, simulation. Has been tested.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2239
    • 提供者:tom
  1. key_scan1

    0下载:
  2. 用verilog实现的四乘四键盘程序,在Quartus II上编译通过并成功-achieved using Verilog 4 x 4 keyboard procedures, the Quartus II compiler on the adoption and successful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:595105
    • 提供者:大圣
  1. signalgenerater

    0下载:
  2. 一个简单的多种信号的发生器 包括正玄,锯齿,阶梯等,使用时用quartus 4.0以上版本打开-a simple multiple signal generator including Shogen, sawtooth, the ladder, when used with the above version 4.0 Quartus open
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:366605
    • 提供者:王磊
  1. mycounter

    0下载:
  2. quartus 中文wj-Quartus Chinese wj
  3. 所属分类:Static控件

    • 发布日期:2008-10-13
    • 文件大小:17238
    • 提供者:忘情三
  1. VHDL_processor

    0下载:
  2. 利用VHDL语言描述的一个简单微处理器,可以通过修改源码来调整指令集,可以在Quartus II上直接运行和编译.-use VHDL descr iption of a simple microprocessor, can modify the source codes to adjust instruction set, Quartus II can be directly compiled and running.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:743011
    • 提供者:赵康
  1. VHDL-Clock

    0下载:
  2. 用VHDL语言写的时钟程序。采用模块化编程。可在EPM7128芯片上下载。编译环境可用Maxplus或Quartus。-write VHDL clock procedures. Modular programming. The EPM7128 chips download. Build environment or Quartus Maxplus available.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4347
    • 提供者:单单
  1. ref-ualaw

    0下载:
  2. A率/u率 压缩与解压缩的IP核,。 # 由AHDL语言写成,可在MaxplusII和QuartusII中使用,源代码加密。-A rate / u rate compression and decompression of the IP core,. By AHDL # languages, and the Quartus II MaxplusII use, the source code encryption.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:119678
    • 提供者:zhangkun
  1. 硬件求解平方根

    0下载:
  2. 硬件求解平方根源代码加密 (硬件求解平方根的,将license添加到原有的MaxplusII或QuartusII的license中就可以直接使用,但源代码加密。altera提供 )-solving square root of the hardware encryption code (square root of the hardware solution will be added to the original license MaxplusII or Quartus II of the
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:40676
    • 提供者:咱航
  1. quartus_Chinese_Introduction

    0下载:
  2. quartus 软件应用中文教程,包含一些高级的用法等。-quartus Chinese Directory software applications, including some senior usage, and so on.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:6615877
    • 提供者:Frank
  1. multiplex

    0下载:
  2. 复接程序,用quartus运行的,可以把很多个信号复接在一起,是程序的一部分!-Multiplexing procedures used quartus operations, can put a lot of signal multiplexing together, is part of that process.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:170262
    • 提供者:lw234620
  1. intro_to_quartus2_v6.0_chinese

    0下载:
  2. quartus II 6.0 中文使用手册 intro_to_quartus2_chinese-Chinese quartus II 6.0 manual intro_to_quartus2_ english
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2069223
    • 提供者:yysh
  1. seq_gen_576

    1下载:
  2. 高清电视HDTV信号发生器,576P逐行,VHDL语言,ALTERA的Quartus II开发平台-HDTV HDTV signal generator, 576P progressive, VHDL, Altera's Quartus II development platform
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162144
    • 提供者:lidan
  1. counter60

    0下载:
  2. 这是我们做的一个作业 摸60计数器,用Quartus ii 做的 ,内容齐全 不可不看。-This is the one we do feel 60 counter operation with Quartus ii do. complete contents can not see.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:138992
    • 提供者:qqq
  1. videofram

    0下载:
  2. 用CPLD控制图像卡进行帧存逻辑的verilog程序,用Quartus II 5.0打开-with CPLD control image frame buffer cards logical verilog procedures, Quartus II 5.0 Open
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1325
    • 提供者:陈刚峰
  1. altera_lcd_controller

    0下载:
  2. quartus II-sopc builder avalon总线LCD控制IPCORE-quartus II-sopc builder avalon Bus LCD controller IP CORE
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26888
    • 提供者:张建
  1. VHDL_Memory_Library_Code

    0下载:
  2. 通用存储器VHDL代码库,The Free IP Project VHDL Free-FIFO, Quartus standard library. -generic VHDL code for memory, The Free Project VHDL IP Free-FIFO, Quartus standard library.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23722
    • 提供者:Jawen
  1. CrackQII60

    0下载:
  2. quartus6.0+nios2 6.0的License,将hostid改为你自己的网卡号即可使用quartus和nios6.0的全部功能-quartus6.0 nios2 6.0 License, hostid to read your own card can be used quartus, and the full functionality of nios6.0
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:5439
    • 提供者:hrui
  1. Crack_QII60_b178

    0下载:
  2. Quartus II 6.0完全Crack文件-Quartus II 6.0 document completely Crack
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:6319
    • 提供者:江纵海
  1. multi8x8

    0下载:
  2. 节约资源型 8位*8位 运算VHDL代码,采用串行运算,8 个时钟周期完成一次运算。QUARTUS下已验证-resource conservation-8 * 8 Operational VHDL code, using serial computation. 8 clock cycles to complete an operation. QUARTUS has been under test
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2362
    • 提供者:曾庆立
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 50 »
搜珍网 www.dssz.com