CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rom vhdl

搜索资源列表

  1. KID_ROM

    0下载:
  2. VHDL实现的只带rom的CISC模型微处理器设计 实现的是输入10个数,输出最小负数-VHDL implementation of the model with only rom the CISC microprocessor designs Realize that the number of input 10 and output the smallest negative
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1883672
    • 提供者:叶才三
  1. synth_fft

    0下载:
  2. 用VHDL语言实现rom存储,可以选择不同的存储空间,有多种控制信号-Rom storage using VHDL language, you can choose a different storage space, there are several control signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:56165
    • 提供者:Grace
  1. Group27_lab5

    0下载:
  2. VHDL的基本门,ram,rom等的实现-VHDL basic door, ram, rom, etc. to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:427035
    • 提供者:都是
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. Rom_Control_FPGA

    0下载:
  2. 用VHDL语言写的ROM控制器,对于编写BUFFER的同志可以用来参考。具有一定价值。-Written in VHDL language using ROM controller, for the preparation of the comrades BUFFER can be used for reference. Has a certain value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3957
    • 提供者:张宁
  1. rom_control

    0下载:
  2. 基于vhdl的源代码,主要用于对ron进行操作,是通过读rom的数字实现的-Vhdl source code-based, mainly for the operation of the ron, rom by reading the digital implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:554393
    • 提供者:zhang
  1. VHDL_Sample

    0下载:
  2. VHDL VGA彩条发射器,里面有4个文件,分别是直接输出的,还有通过ROM查找颜色的,通过RAM和DRAM的-VHDL VGA color of the transmitter, there are 4 files, namely, direct output, as well as to find color by ROM, RAM and DRAM through the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3246248
    • 提供者:蔡灿
  1. sin

    0下载:
  2. 设计一个正弦信号发生器,用VHDL设计出同步寄存器、相位累加器等,正弦ROM查找表建议采用定制器件的方法完成,正弦ROM数据文件可以用C代码完成。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:87617
    • 提供者:朱恩培
  1. fangbo

    0下载:
  2. 关于方波发生器的VHDL代码,用rom表装载数据然后AD转换-Katanami the code generators vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:41523
    • 提供者:张陈梅
  1. rom_decoder_ram

    0下载:
  2. 三八译码器 VHDL语言 ROM RAM-Thirty-eight decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:348004
    • 提供者:王泽宇
  1. servomat

    0下载:
  2. antidad_a EQU s0 talto EQU s1 Rename register sX with <name> tbajo EQU s2 indicador EQU s3 cantidad_b EQU S4 Define constant <name>, assign value name ROM output file generated by pBlazIDE assembler VHDL "ROM_form.vhd", "ser
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1057345
    • 提供者:Jorge
  1. alu_simulation

    0下载:
  2. VHDL alu unit design and simulation with RAM, ROM, clock generator and 2 simple programs to execute.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:9741
    • 提供者:glucz
  1. test_cpu

    0下载:
  2. 自己编的小型CPU,可执行简单的代码,作为对开发CPU的尝试。里面包含ROM和CPU。CPU通过状态机执行指令。在Modelsim中仿真通过。-Small VHDL CPU,as a example for developing CPU. It is simulated by Modelsim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:249008
    • 提供者:123
  1. 88VHDL(1)

    0下载:
  2. 选用一种设计方案定制ROM(乘法器宏模块)的方法设计一个八位乘法器,利用quartus软件进行VHDL程序的编写,然后对程序进行仿真验证,并对所设计的乘法器进行评价。-Use a custom ROM design ( multiplier macro module ) method to design a eight multiplier, the use of quartus software VHDL program, then the program is validated by si
  3. 所属分类:Process-Thread

    • 发布日期:2017-04-02
    • 文件大小:351778
    • 提供者:杨涛
  1. LCD

    1下载:
  2. VHDL语言由1206显示rom中的数据-VHDL language rom in the data from 1206 show
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:800289
    • 提供者:张喆
  1. LIA

    0下载:
  2. 该vhdl代码用两个rom模拟产生两路正弦波,并设计了一个乘法器将两路正弦波相乘。-The two vhdl code with two rom analog sine wave and design a multiplier to multiply two sine wave.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-20
    • 文件大小:689041
    • 提供者:haoboy
  1. sin

    0下载:
  2. vhdl语言写的基于rom的正弦波发生器,包含代码和仿真图-VHDL language used to write rom-based sine wave generator contains code and simulation Figure
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:71625
    • 提供者:张瑞萌
  1. DDS

    0下载:
  2. FPGA,基于VHDL语言,用于ROM查找表的方式,实现DDS,能够输出正弦,方波,锯齿波,方波四种波形,可以改变幅值和频率。-DDS based on FPGA(VHDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:6305
    • 提供者:王芳
  1. VHDL-code-of-ROM-Based-Instruction-Memory

    0下载:
  2. code for 16 bit instruction memory
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-22
    • 文件大小:628
    • 提供者:tarunsharma
  1. fengming

    0下载:
  2. VHDL实现蜂鸣器唱歌,已验证通过,音乐文件采用ROM存储。-VHDL implementation buzzer singing, has been verified through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:611041
    • 提供者:多哈达
« 1 2 3 4 5 6 78 »
搜珍网 www.dssz.com