CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rs232 vhdl

搜索资源列表

  1. URAT

    0下载:
  2. 最常见的rs232通信的vhdl实现,经过实际使用验证
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:32594
    • 提供者:江泽民
  1. yibutongxin

    0下载:
  2. 用VHDL编写的串口异步通信的例子,适于RS232、RS422的通信
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:558555
    • 提供者:王权
  1. uart

    0下载:
  2. vhdl语言编写的实现uart协议的程序,用于rs232电气接口程序开发.支持比特率从2400-115200.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5180
    • 提供者:陈想
  1. FPGA-UART

    1下载:
  2. 用FPGA器件实现UART核心功能的一种方法 串行外设都会用到RS232-C异步串行接口,传统上采用专用的集成电路即UART实现,如TI、EXAR、EPIC的550、452等系列,但是我们一般不需要使用完整的UART的功能,而且对于多串口的设备或需要加密通讯的场合使用UART也不是最合适的。如果设计上用到了FPGA/CPLD器件,那么就可以将所需要的UART功能集成到FPGA内部,本人最近在用XILINX的XCS30做一个设计的时候,就使用VHDL将UADT的核心功能集成了,从而使整个设计更
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:27456
    • 提供者:开心
  1. FPGArealizeRS232

    0下载:
  2. 用FPGA实现RS232通信,此代码是用VHDL语言编写,非常有用的好东东啊
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:48084
    • 提供者:孙建军
  1. UART

    0下载:
  2. 用FPGA实现了RS232异步串行通信,所用语言是VHDL,另外本人还有Verilog的欢迎交流学习,根据RS232 异步串行通信来的帧格式,在FPGA发送模块中采用的每一帧格式为:1位开始位+8位数据位+1位奇校验位+1位停止位,波特率为2400。由设置的波特率可以算出分频系数,具体算法为分频系数X=CLK/(BOUND*2)。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1429
    • 提供者:saibei007
  1. rs232_vhd

    0下载:
  2. 此RS232通信协议用VHDL语言实现,基于Altium Designer公司的Protel DXP开发平台。本人是基于Nanaboard开发板编写的程序,其他用户只需要对配置文件进行修改即可用于其他电路板。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2851123
    • 提供者:yato_logo
  1. uart.rar

    0下载:
  2. 基于vhdl的串口通信模块,即异步收发机,可实现单片机核fpga的收发串口通信,遵从rs232协议,已经调试过,很不错的资源,Vhdl-based serial communication module, that is, asynchronous transceiver can achieve single-chip transceiver nuclear fpga serial communication, rs232 to comply with the agreement, has be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:969
    • 提供者:郭帅
  1. UART

    2下载:
  2. 内含有完整的UART代码,包括发送和接受,且有testbench,可以直接仿真调试-Contain complete UART code, including send and receive and there testbench, can directly Simulation debugging
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:9094
    • 提供者:李佳
  1. Rs232-reciever

    0下载:
  2. RS232 reciver vhdl code for RS232 EIA232-RS232 reciver vhdl code for RS232 EIA232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:2110
    • 提供者:sgma
  1. VHDL-uart

    0下载:
  2. 本程序应用VHDL语言,详细描述了RS232串口协议,包括发送,接收,波特率的产生,模块化编程,对于初学者尤为有宜!-The program in VHDL language, the detailed descr iption of the RS232 serial protocol, including sending, receiving, and baud rate generation, modular programming, especially for beginners sho
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:13046
    • 提供者:wangsheng
  1. vhdlRs232

    0下载:
  2. 通过 vhdl 语言实现rs232 通信-Communication via rs232 vhdl language
  3. 所属分类:Com Port

    • 发布日期:2017-03-30
    • 文件大小:3267
    • 提供者:wang
  1. RS232uart(VHDL)

    0下载:
  2. rs232串口程序,包括输入和输出,vhdl实现。rs232 serial procedures, including input and output, vhdl implementation.-rs232 serial procedures, including input and output, vhdl implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:47152
    • 提供者:houjiajun
  1. UART VHDL

    0下载:
  2. UART RS232 VHDK DEVELOPMENT
  3. 所属分类:VHDL编程

    • 发布日期:2015-12-10
    • 文件大小:281798
    • 提供者:Sherlock221B
  1. puerto-Uart-rs232

    0下载:
  2. UART PORT VHDL USING DE2-115
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:161333
    • 提供者:crisalex
  1. kehshechenxu

    1下载:
  2. 编制一全双工UART电路,通过试验箱MAX202E转换成RS232电平,与计算机进行通讯实验,设置8个按键,按键值为ASIC码“1”~“8”,通过串口发送给计算机,在计算机上显示键值,同时在数码管最高位显示;计算机可发送“0”~“F”的ASIC码,FPGA接收后在数码管低位显示0~F。通过按键可设置波特率。 要求:波特率为三种 1200、2400、9600,由1个按键选择,3个LED分别指示; 数据格式为1位起始位、8位数据位和一位停止位; 上位计算机发送接收软件可使用
  3. 所属分类:其他

  1. E8_1_RS232

    0下载:
  2. VHDL编写的RS232串口通讯代码,可以使用。(VHDL prepared by the RS232 serial communication code, you can use.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:762880
    • 提供者:lionsde
  1. rs232_refproj

    0下载:
  2. referance project for RS232 development
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-20
    • 文件大小:71680
    • 提供者:kishu
  1. uart

    0下载:
  2. RS232通信程序,用于实现PC端与FPGA之间实现串口通信(RS232 communication program for realizing serial port communication between PC and FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:137216
    • 提供者:.00
  1. rs232

    1下载:
  2. 使用VHDL语言在vivado平台上编的串口通信的完整工程,并能用EGO1开发板成功验证(The complete project of serial communication is compiled on the vivado platform using VHDL language, and it can be successfully verified with the EGO1 development board.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-02-04
    • 文件大小:697344
    • 提供者:vmansus
« 1 2 3 4 56 7 »
搜珍网 www.dssz.com