CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - shift

搜索资源列表

  1. Rayleigh_1

    0下载:
  2. 通信系统中基于MATLAB的四相移相键控调制的仿真-MATLAB-based communication system of the four-phase phase shift keying modulation simulation
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-12
    • 文件大小:1289
    • 提供者:王康
  1. guangdianguan

    0下载:
  2. 进行51单片机主控智能车模块测试时用光电管返回的道路信息控制舵机以一定角度转向。-Intelligent control for 51 single-chip module test vehicle with photocell control to return to the road information to a certain point of gear shift.
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:16285
    • 提供者:sq
  1. Digital_tube_test_procedure

    0下载:
  2. STC12C5410AD单片机和HD7279。程序包含有:测试指令演示;闪烁指令及键盘接口测试;快速计数演示;下载数据但不译码指令;测试在第8位按不译码方式显示一字符 三 ;译码方式0及左移指令测试;消隐指令测试-STC12C5410AD MCU and HD7279. Procedures include: test demo commands blinking and keyboard interface test command quick count demo download t
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2127
    • 提供者:阿k
  1. ww

    0下载:
  2. 本程序实现以下功能: 1.绘制茶壶(T) 2.添加光照(T) 3.添加纹理(T) 4.鼠标左键控制茶壶旋转(T) 操作步骤:按鼠标左键茶壶旋转,按一次旋转30°。 5.鼠标右键控制茶壶平移(T) 操作步骤:按鼠标右键茶壶向右移动,每次移动0.5厘米 6.键盘控制茶壶纹理的变化(T) 操作步骤:按键盘的 w 和 s 键实现纹理的改变 7.键盘方向键控制camera的前进后退,左移和后移 (T) 操作步骤:按键盘的四个方向键实现茶壶四种方向的移动
  3. 所属分类:OpenGL program

    • 发布日期:2017-04-01
    • 文件大小:2441
    • 提供者:pengjie
  1. crypt

    0下载:
  2. 实现三种加密解密算法:1、 简单的移位算法;2、 基于矩阵的置换算法;3、 Des算法;-Encryption and decryption algorithm for the realization of the three: 1, a simple shift algorithm 2, the replacement algorithm based on the matrix 3, Des algorithm
  3. 所属分类:GUI Develop

    • 发布日期:2017-05-09
    • 文件大小:2024022
    • 提供者:空凌
  1. 8-way-control-lantern

    0下载:
  2. 8路移存型彩灯题目要求两种花型,本次实验分别实现这两种花型,它的设计主要采用74194接成扭环形结构的移位寄存器来实现,整个电路主要由编码发生器、控制电路、脉冲发生器构成可以实现控制8个以上的彩灯,并且可以组成多种花型。 -8 subject lantern-type shift registers require two flower type, respectively, the experimental realization of the two flower types, it i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:551
    • 提供者:周游
  1. xiaoche89c51chengxu

    0下载:
  2. 小车控制程序,控制转向,躲避障隘,有很强的灵活性与实用性-Vehicle control procedures, control shift, to avoid narrow-impaired, has a strong flexibility and practicality. . . . . . .
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:4588
    • 提供者:举人
  1. WashHouseManageSystem

    0下载:
  2. 一个优秀的干洗店管理系统,具备收取衣服管理,领取衣服管理,员工管理,交班管理,历史资料统计等功能,适用于一个小型的洗衣店的管理。在此贴出来,与大家共享,还望多多指教。-Dry cleaners, a good management system, with collection of clothing management, to receive clothes management, staff management, shift management, historical data and
  3. 所属分类:ERP-EIP-OA-Portal

    • 发布日期:2017-05-18
    • 文件大小:5071742
    • 提供者:Annan
  1. 6_5

    0下载:
  2. 一个鼠标程序,在按下ctrl键的同时按下鼠标左键,可画圆,按下shift键的同时按下左键,可画矩形.-Procedures for a mouse, press the ctrl key while pressing the left mouse button can be drawcircle, press the shift key while pressing the left, can be rectangular painting.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-07
    • 文件大小:1152141
    • 提供者:ydt
  1. DDS-baseddesignofthesinusoidalsignalgenerator

    0下载:
  2. 本设计采用AT89552单片机,辅以必要的模拟电路,实现了一个基于直接数字频率合成技术(DDS)的正弦谊号发生器。设计中采用DDS芯片AD9850产生频率1KHZ~10MHZ范围内正弦波,采用功放AD811控制输出电压幅度, 由单片机AT89S52控制调节步进频率1HZ。在此基础上,用模拟乘法器MC1496实现了正弦调制信号频率为1KHZ的模拟相度调制信号;用FPGA芯片产生二进制NRZ码,与AD9850结合实现相移键控PSK、幅移键控ASK、频移镇键FSK。-AT89552 the singl
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:209208
    • 提供者:何蓓
  1. Aboutadvertisingshiftlights

    0下载:
  2. 广告灯左右移,清华大学版本,有仿真图,适合初学者!对大家有帮助的!-About advertising shift lights, Tsinghua University version, there are simulation map, suitable for beginners! Helpful for all of us!
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:29503
    • 提供者:王愿
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. EXERCISE_5_3_4_3

    0下载:
  2. CLK 为其时钟脉冲 M 控制工作模式 CO 为允许带进位移位输入 S 控制移位模式0-3 D[7..0]是移位数据输入 QB[7..0]是移位数据输出 CN是移位数据输出进位-M for the clock pulse CLK mode control allow CO to enter into the S displacement control mode shift 0-3 D [7 .. 0] is the data input shift
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1441776
    • 提供者:623902748
  1. Doppler

    1下载:
  2. 这是一篇很经典的MPSK的多普勒频偏差分估计算法研究的资料-This is a classic of the Doppler shift differential MPSK algorithm estimates the information
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:850017
    • 提供者:陈炜炜
  1. colorimageretrivew

    4下载:
  2. 实现彩色图像显著区域提取,基于注意力机制,使用算法包括k-means,分割等。-salient region detection
  3. 所属分类:Picture Viewer

    • 发布日期:2017-05-11
    • 文件大小:2076710
    • 提供者:程素娟
  1. M_sequence

    1下载:
  2. 使用移位寄存的方法产生M序列,在MATLAB编程中使用-The use of storage methods shift M sequence, the use of programming in MATLAB
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:2868
    • 提供者:冬冬
  1. Simple_Digital_FPGA_Pseudo-Chaos_Generator

    0下载:
  2. In this paper, the feasibility of replacing a chaos source by an equivalent digital pseudo-random generator realized using Linear Feedback Shift Register (LFSR) is studied. Particular emphasis is given on the digital implementation Piece-Wise Linear
  3. 所属分类:Communication

    • 发布日期:2017-04-07
    • 文件大小:257080
    • 提供者:gsbnd
  1. T3_1

    0下载:
  2. 一个4比特移位寄存器,活跃在不断上升的边缘的时钟。登记应能转移左、右移,接受连续剧和平行(负荷)输入,而有一个异步预设(“1111”)和清晰的(“0000”)的能力。-a 4-bit shift register which is active on the rising edge of the clock. The register should be able to shift left, shift right, accept a serial and parallel (load) i
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:26892
    • 提供者:sunzhongyuan
  1. TMS320C64xImage_VideoProcessingLibrary(IMGLIB)Exam

    1下载:
  2. 基于TI公司DSP64x的图像处理程序库-TI 64x-based image processing library
  3. 所属分类:DSP program

    • 发布日期:2017-03-23
    • 文件大小:853939
    • 提供者:张振
  1. BPSK1

    0下载:
  2. Phase shift keying , M=2 modem
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-05
    • 文件大小:134596
    • 提供者:ashfaq
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com