CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sigma delta

搜索资源列表

  1. delsig

    0下载:
  2. Oversampling Delta-Sigma Data Converters
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-27
    • 文件大小:546169
    • 提供者:张海
  1. ADC

    0下载:
  2. 该matlab程序验证了信号处理中delta-sigma ADC的效果,在过采样和delta-sigma单次循环后得到的图象性噪比提高。-The matlab program verification of signal processing in the effect of delta-sigma ADC, and the over-sampling delta-sigma a single image obtained after cycles of noise ratio increase
  3. 所属分类:Special Effects

    • 发布日期:2017-03-27
    • 文件大小:962
    • 提供者:王奇
  1. oneorder

    2下载:
  2. 一阶sigma-delta ADC 算法仿真程序及量化台阶可视化-oneorder sigma-delta ADC simulation code and quatity ladder
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2014-11-05
    • 文件大小:872
    • 提供者:yinjun
  1. sd

    2下载:
  2. 一阶sigma delta的matlab中simulink的仿真,z域-First-order sigma delta of matlab simulation in simulink, z domain
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:5893
    • 提供者:贺金
  1. An_Introduction_to_Delta_Sigma_Converters

    0下载:
  2. Intro to Delta Sigma and PWM
  3. 所属分类:Development Research

    • 发布日期:2017-04-29
    • 文件大小:114394
    • 提供者:koolslash
  1. UKF

    2下载:
  2. 自己写的UKF滤波程序,使用2n+1Sigma点采样-UKF filter written by myself, using 2n+1 Sigma-point sampling
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:2752
    • 提供者:ZHUANG
  1. All_Digital_DC2DC_Converters_on_FPGA

    0下载:
  2. The FPGA can realize a more optimized Digital controller in DC/DC Converters when compare to DSPs. In this paper, based on the FPGA platform, The theoretical analysis, characteristics, simulation and design consideration are given. The methods to imp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:115436
    • 提供者:gsbnd
  1. dm

    0下载:
  2. By using a MATLAB program, design a Delta Modulation (DM) system with one step-size and a modified DM system with two step-sizes.
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:1504
    • 提供者:song
  1. DSM

    0下载:
  2. delta sigma modulator
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1555
    • 提供者:nahid
  1. delsig

    0下载:
  2. MATLAB Delta Sigma developpement.
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:756625
    • 提供者:nejmeddine
  1. sndr

    1下载:
  2. 计算流水式模数转换器或者sigma-delta adc的SNDR-calculate SNDR of pipelined adc or sigma-delta adc
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-04
    • 文件大小:2295
    • 提供者:史小凤
  1. sigma-delta-ADC

    0下载:
  2. Sigma Delta ADC by ANALOG Devices
  3. 所属分类:Communication

    • 发布日期:2017-11-16
    • 文件大小:1400715
    • 提供者:shailesh.patil
  1. sigma-delta-toolbox

    0下载:
  2. sigma-delta modulator toolbox
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-11-10
    • 文件大小:269283
    • 提供者:yy
  1. sigma-delta-adc-example

    0下载:
  2. sigma-delta adc 示例代码-sigma-delta adc example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2919
    • 提供者:张斗
  1. sigma-delta-toolbox

    3下载:
  2. sigma-delta调制器工具箱,包括SIMULINK和MATLAB-sigma-delta modulator toolbox, including MATLAB and SIMULINK
  3. 所属分类:matlab

    • 发布日期:2014-09-06
    • 文件大小:2601984
    • 提供者:邹啥
  1. sigma-delta

    0下载:
  2. sigma delta simulink-sigma delat simulink
  3. 所属分类:3G develop

    • 发布日期:2017-05-12
    • 文件大小:2584409
    • 提供者:宝贝
  1. Matlab-simulink-based-sigma-delta

    0下载:
  2. 关于sigma-delta调制的MATLAB仿真程序和simulink仿真-About sigma-delta modulation MATLAB simulation simulink simulation program and
  3. 所属分类:Modem program

    • 发布日期:2017-05-11
    • 文件大小:2602128
    • 提供者:周广佼
  1. sigma-delta-modulation

    1下载:
  2. 高精度、低功耗模数转换器是当今集成电路设计模拟领域的研究热点之一,采用sigma-delta调制原理和过釆样原理的模数转换器广泛使用在音频、数字网络、电子测量等系统中。此类模数转换器,为降低信号带内的量化噪声功率,而釆用噪声整形技术,为提高模数转换器的信噪比,使用过采样技术,将基带中的量化噪声调制到了高频区域,这样就增加了基带中的信噪比,也就是增加了转换器的有效量化位数,由于采用较高的过采样率,Sigma-Delta ADC的转换速率相对较低,高精度和较低转换速率的特点,使得Sigma-Delt
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:1180486
    • 提供者:陈巧
  1. sigma-delta-modulator

    0下载:
  2. 实现SIGMA-DELTA Modulator的veriolog代码-sigma-delta moudulator for RFPLL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2889
    • 提供者:zhangzezhi
  1. Sigma_Delta

    2下载:
  2. sigma delta 调制器 滤波器设计(present a series of Simulink models to design a high-level behavioral model of a Sigma-Delta ADC)
  3. 所属分类:matlab例程

    • 发布日期:2018-04-21
    • 文件大小:483328
    • 提供者:ciancrooger
« 1 23 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com