CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - spi verilog

搜索资源列表

  1. SD_SPI sd卡spi接口的verilog程序

    1下载:
  2. sd卡spi接口的verilog程序,quartus2,全部调好能已经应用于SD卡模块。-sd card spi interface verilog program, quartus2, all tuned to have been used in SD card module.
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-27
    • 文件大小:2700387
    • 提供者:洪传荣
  1. Verilog-to-do-SD-card

    1下载:
  2. 本文档内是基于Verilog HDL的SD卡SPI模式下的读写程序,内有详细的注释,且通俗易懂。-This document is based on Verilog HDL in the SD card in SPI mode to read and write procedures, which are detailed notes, and easy to understand.
  3. 所属分类:File Formats

    • 发布日期:2015-07-28
    • 文件大小:8192
    • 提供者:快乐天使
  1. SPI_verilog_vhdl.rar

    0下载:
  2. SPI串口的内核实现(分别使用verilog和vhdl语言描述的),The core of the realization of SPI serial port (using Verilog and VHDL language descr iption of the)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:13539
    • 提供者:徐剑
  1. SPI_Wishbone_Controller

    0下载:
  2. FPGA SPI总线硬件描述语言Verilog下的实现-FPGA SPI bus under the Verilog hardware descr iption language to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:199790
    • 提供者:deng
  1. SPI_Slave

    0下载:
  2. SPI Slave example (VERILOG HDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1125
    • 提供者:igor
  1. SPI_controller

    1下载:
  2. SPI serial flash ROM的verilog源代码, 针对winbond W25x16,已经经过逻辑验证,并实际用在芯片设计中,作为一个模块,正常工作.-SPI serial flash ROM in verilog source code for winbond W25x16, logic has been verified, and actually used in chip design, as a module to work.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-26
    • 文件大小:8632
    • 提供者:Jerd Hu
  1. verilog

    0下载:
  2. 介绍了一种SPI从机的接口verilog编码-verilog code for spi slave
  3. 所属分类:Com Port

    • 发布日期:2017-04-02
    • 文件大小:4010
    • 提供者:董广军
  1. MinWinsockSpi

    0下载:
  2. verilog ADPLL file with testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:17902
    • 提供者:xgh
  1. spi

    0下载:
  2. 使用verilog 硬件描述语言实现了spi总线协议-Verilog hardware descr iption language used to achieve the spi bus protocol
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1081
    • 提供者:cuiwenpin
  1. pwm16bits

    0下载:
  2. SPI总线Master的verilog代码-SPI Bus Master of Verilog code
  3. 所属分类:Com Port

    • 发布日期:2017-04-04
    • 文件大小:1145
    • 提供者:xudong
  1. FREQSYN

    0下载:
  2. 使用Verilog语言编写的使用SPI总线设置频率LM2346,可通过设置其R寄存器对其输出频率进行设置(需相应的射频电路相配合)。-The use of Verilog language use SPI bus frequency settings LM2346, can be by setting up its R register set of its output frequency (to be matched by corresponding RF circuitry).
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1530
    • 提供者:张键
  1. SPI_IIC_design_example

    0下载:
  2. ALTERA原厂提供的例程,网上很难找到的,在MAX2系列芯片上实现过,VHDL和VERILOG两种语言编写 IIC读写程序-ALTERA provided the original routine, it is difficult to find online and in the MAX2 series chip-off, VHDL and VERILOG two languages
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:394632
    • 提供者:郑康山
  1. TLC2543

    0下载:
  2. SPI串行接口AD转换器TLC2543的应用 经keil 编译 -SPI serial interface AD converter TLC2543 Application by keil compiler
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:5607
    • 提供者:henry
  1. 5406B

    0下载:
  2. 使用verilog串口初始化ic,并显示rgb三种颜色,ic为旭耀5406b-Verilog to initialize the use of serial ic, and display the three rgb color, ic for Yao Xu 5406b
  3. 所属分类:Graph program

    • 发布日期:2017-04-13
    • 文件大小:2336
    • 提供者:timmp
  1. analogue-digi-ana-converter

    0下载:
  2. design and implementation of a format conversion system on the Altera NIOS board(QUARTUS) which reads an analogue input, converts it into digital data, and then does the reverse conversion back into analogue format. This will be done by taking an ana
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1398520
    • 提供者:ak
  1. spi_latest.tar

    0下载:
  2. 用verilog HDL编写的SPI控制器,从国外网站上找到的。-SPI (Serial Peripheral Interface) is serial, synchronous, full duplex communication protocol. It is widely used as a board-level interface between different devices such as microcontrollers, DACs, ADCs and others.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2623163
    • 提供者:gsh
  1. tongxinyuanli

    0下载:
  2. 数字通信原理 曹志刚版的SPI总线硬件描述语言Verilog下的实现,含主模式和从模式的实现,经过仿真验证,可作为一个单独的模块使用--Digital Communication Principles of CAO Zhi-gang version of the SPI bus, under the Verilog hardware descr iption language implementation, including Master mode and slave mode of impl
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-05-25
    • 文件大小:8549892
    • 提供者:liusen
  1. Chapter-6

    0下载:
  2. 用Verilog编写的SPI协议包括了最基本的协议和功能,并通过测试。本SPI是主。-SPI using Verilog written agreement includes the most basic protocols and functions, and passing a test. The SPI is the main.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:485088
    • 提供者:张跃平
  1. test_SPI

    0下载:
  2. 串口verilog代码,包括测试环境,仿真环境。-SPI design,verilog code,incude test and simulation scr ipt。
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2466375
    • 提供者:谢云松
  1. ADC

    0下载:
  2. a verilog code about dac of audio codec on fpga board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:685
    • 提供者:DCLAB
« 1 2 3 4 5 67 8 9 10 11 ... 17 »
搜珍网 www.dssz.com