CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - testbench

搜索资源列表

  1. VHDL

    0下载:
  2. 介绍Verilog HDL, 内容包括:Verilog应用,Verilog语言的构成元素,结构级描述及仿真 ,行为级描述及仿真,延时的特点及说明 介绍Verilog testbench,激励和控制和描述 结果的产生及验证,任务task及函数function 用户定义的基本单元(primitive),可综合的Verilog描述风格等-Introduction Verilog HDL, including: Verilog applications, Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1521735
    • 提供者:shirley
  1. testbench

    0下载:
  2. 视频转换测试程序,实现在芯片上进行视频加载-Video conversion test program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2649
    • 提供者:zgqgnxxj1986
  1. LIP1732CORE_system_mbus_arbiter

    0下载:
  2. System Verilog M bus arbiter module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:26385
    • 提供者:jc
  1. Testbench

    0下载:
  2. This only test upload!-This is only test upload!
  3. 所属分类:Data structs

    • 发布日期:2017-04-11
    • 文件大小:1281
    • 提供者:Forrest79
  1. UART_rx_tx

    0下载:
  2. 串口单字节自发自收程序,内含testbench-UART single-byte receive and send program in includes testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:4912
    • 提供者:张以亮
  1. uart2bus_latest.tar

    0下载:
  2. 文档详尽、已验证的UART工程,含有testbench文件。采用VHDL、Verilog语言编写。-Detailed documentation, has proven UART works with testbench file. Using VHDL, Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:224229
    • 提供者:robin
  1. guidencetowriteefficienttestbenchfile

    0下载:
  2. guidence to write efficient testbench file.pdf 非常非常好-guidence to write efficient testbench file.pdf very, very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:197437
    • 提供者:rambo
  1. 8051vlog

    0下载:
  2. 8051IP核,verilog源代码,包含测试向量,-8051 IP Core verilog code, with testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:251940
    • 提供者:zhangq
  1. SpiMaster

    1下载:
  2. This a verilog code for SPI Master testbench is also provided spi_top.v Xilinx ISE or Icarus verilog to compile and simulate-This is a verilog code for SPI Master testbench is also provided spi_top.v Xilinx ISE or Icarus verilog to compile an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:8831
    • 提供者:RutaliMulye
  1. FIR

    0下载:
  2. 14阶FIR滤波器的硬件实现,附加testbench与Matlab验证.-a FIR with 14 taps, packed with testbench and matlab verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3201
    • 提供者:黄甦
  1. UART

    1下载:
  2. UART发送verilog源码,波特率115200,以及testbench源码-Send verilog source UART baud rate 115200, and testbench source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:67892
    • 提供者:宁馫圈
  1. hdl_plugin

    0下载:
  2. vim的hdl插件。支持快速例化和testbench生成。-The hdl vim plugins. fast instant support the rapid testbench generation.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-06
    • 文件大小:9241
    • 提供者:陈勇
  1. VHDL

    0下载:
  2. 分别采用行为描述,数据流描述和结构描述 编写的VHDL代码 同时,含有各自的testbench-Behavioral descr iptions were used, the data flow schema descr iption and VHDL code written at the same time, with their testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:31509
    • 提供者:阿力
  1. Decoder_3_to_8

    0下载:
  2. Testbench to generate some stimulus and display the results for the 3-to-8 decoder module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3403
    • 提供者:Mohsen
  1. model_adder

    0下载:
  2. 包括一个基于Quartusii的加法器工程,以及基于ModelSim的前仿真、综合后功能仿真和布局布线后时序仿真的完整例程及testbench文件,吐血推荐,非常有用!-Includes an adder based Quartusii works, and the first based on ModelSim simulation, synthesis functional simulation and post layout timing simulation after complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:490814
    • 提供者:gglight
  1. test-bech-of-adder8

    0下载:
  2. this is a testbench of 8 bit adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:814
    • 提供者:thomas
  1. small-programs-using-verilog

    0下载:
  2. 148个用verilog编写的小程序,易于初学者学习,部分代码还有testbench-148 small programs written using verilog, easy for beginners to learn, there are some code testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:37699
    • 提供者:宋利川
  1. VHDLTESTBENCH

    0下载:
  2. 本文档对编写vhdl的testbench具有很大的参考价值,偶那个多方面考虑的-The preparation of this document, the testbench vhdl of great reference value, even considering that many
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:813911
    • 提供者:rjt
  1. I2C_Verilog_Model

    0下载:
  2. 该源程序包是I2C的Verilog语言模型,包括以下4个部分:RTL源代码,测试平台,软件仿真代码,说明文件。-This source package is I2C bus model based on Verilog language. It has the following 4 parts: RTL code, testbench, sofeware simulating code, help document.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:364725
    • 提供者:jinjin
  1. SD_Controller_Verilog

    3下载:
  2. 该程序包是SD卡/MMC卡控制器SDC的verilog语言包,它包括以下4部分:RTL源代码,测试平台,软件仿真文件,说明文件。-This source package is the SD card and MMC card controler model based on the Verilog language. It has the following 4 parts: RTL language, testbench, software simulating files and help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-29
    • 文件大小:1659904
    • 提供者:jinjin
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 39 »
搜珍网 www.dssz.com