CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 状态机

搜索资源列表

  1. adc0809

    0下载:
  2. 1、用状态机设计A/D转换器ADC0809的采样控制电路,并在数码管上显示转换结果; 2、设置有复位和启动/保持开关,要求 ⑴ 复位开关用来使A/D转换器复位,并做好A/D转换准备; ⑵ 启动/保持开关用来控制A/D转换器开始连续转换或停止转换保持结果,即按一下启动/保持开关,启动A/D转换器开始转换,再按一下启/停开关,停止转换并保持结果。 3、采用Verilog HDL语言设计符合上述功能要求的控制电路。-1, with the state machine design A/
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:108390
    • 提供者:YINJIE
  1. State-machine-design-techniques

    0下载:
  2. 状态机设计-英文-如何编写状态机-case-State machine design techniques for Verilog and VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:114787
    • 提供者:苏轩
  1. sencond_counter

    0下载:
  2. 在ise14.7开发环境下,用Verilog编写的秒表程序,其中通过状态机实现数码管的动态显示-In ise14.7 development environment, using Verilog prepared stopwatch program in which the state machine implementation through dynamic digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:54192
    • 提供者:喻国芳
  1. serial_number_check

    0下载:
  2. 序列检测,学习verilog三段式状态机的经典例程,modelsim仿真无误-Sequence Detection, three-state machine learning verilog classic routines, modelsim simulation is correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:431949
    • 提供者:
  1. Ch8

    0下载:
  2. 《Verilog HDL数字系统设计及仿真》第八章有限状态机的设计源代码-" Verilog HDL design and simulation of digital systems." Chapter VIII of the finite state machine design source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1133
    • 提供者:Cliu
  1. lab9_2

    0下载:
  2. 用verilog实现更高级的交通灯:增加游行模式。实质上是对米粒状态机的掌握-An implementation in verilog on Mealy FSM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:481866
    • 提供者:Wangchy
  1. fsm

    0下载:
  2. 三段式状态机的典型写法,verilog实现-The three section type of typical state machine method, Verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1050
    • 提供者:mxc
  1. xvlijiance

    1下载:
  2. 附件包括四个内容1.采用Verilog编写的状态机实现序列检测的ISE工程2.代码文档一份3.原理说明4.使用说明。采用的软件平台是ISE13.3,硬件平台是Spartan-3E。-Accessories include four content of 1 by the state machine Verilog prepared realize sequence detection ISE works 2 code document a 3 principle that 4 instructi
  3. 所属分类:其他小程序

    • 发布日期:2017-05-07
    • 文件大小:473800
    • 提供者:zhulinglei
  1. adc

    0下载:
  2. VERILOG编程,利用状态机实现对TLC549的采样控制,实验时可调节电位器RW1(在开发板底板左下角),改变ADC 的模拟量输入值,数据采集读取后在数码管上显示。 -Implementation of sampling control of TLC549 using state machine, adjustable potentiometer RW1 experiment (in the development board bottom left corner), change t
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1503
    • 提供者:suzhangzhan
  1. state-machine

    0下载:
  2. 一个简单的用verilog实现的售货机状态机设计,内有word介绍设计的原理-A simple realization of a vending machine with verilog state machine design, there are design principles introduced word
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:70380
    • 提供者:csy
  1. test-series-10010

    0下载:
  2. 用于检测序列10010的程序,Verilog的状态机练习-Used to test series 10010 program, Verilog state machine practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:381477
    • 提供者:王佳
  1. autosell

    0下载:
  2. 自动售货机程序,以Verilog三段式描述方法描述有限状态机FSM,编译及输出正常-Vending machine program, describe the method described in Verilog three-finite state machine FSM, compile and output normal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:636
    • 提供者:Tom xue
  1. tlc549adc

    0下载:
  2. 使用verilog编写的利用状态机实现对TLC549的采样控制,实验时可调节电位器,改变ADC 的模拟量输入值,数据采集读取后在数码管上显示。可以自己用万用表测一下输入电压, 然后与读取到的数据比较一下。-Use verilog prepared using the state machine to achieve the TLC549 sampling control, adjustable potentiometer experiment, change ADC The anal
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:230870
    • 提供者:wangyan
  1. fsm1

    0下载:
  2. 用verilog实现有限状态机,是摩尔型的,有详细代码-Finite state machines using verilog to achieve, is the molar type, a detailed Code
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:3796
    • 提供者:迅雷
  1. VHDL100

    0下载:
  2. 本文件包含100个Verilog实例,有存储器,时钟,椭圆滤波器,状态机等。有助于初学者的学习。-This document contains 100 examples of Verilog, there are memory, clock, elliptic filter, state machines. Help beginners to learn.
  3. 所属分类:Document

    • 发布日期:2017-05-23
    • 文件大小:6956391
    • 提供者:李昱君
  1. NandBuffer

    0下载:
  2. verilog编写,含三路正弦信号发生器,三路数据乒乓缓存模块。乒乓缓存读写控制采用三段式状态机实现。-The project contains a 3-channel sine generator and a 3-channel ping-pong buffer which is written in verilog. The write and read control of buffer is implemented in 3-segment FSM.
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:8358
    • 提供者:shanhuancui
  1. quartus

    0下载:
  2. 流水灯状态机的一段式描述和二段式描述还有三段式描述的Verilog源码-Light water section of the state machine and the two-stage type descr iption descr iption descr iption of Verilog source code as well as three-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6657585
    • 提供者:刘佳明
  1. fsm

    0下载:
  2. verilog语言,有限状态机实现的序列检测器-verilog language, finite state machine sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1061
    • 提供者:
  1. RISC_CPU

    0下载:
  2. 这是用verilog写的一个基于状态机的简易RISC_CPU的设计,里面包含各个模块,每个模块经过仿真没有问题,整个工程在板子上经过试验。-This is a verilog to write a simple RISC_CPU based state machine design, which contains various modules, each module through simulation without problems, the whole project tested o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1121160
    • 提供者:冯永帅
  1. AD7606

    1下载:
  2. AD7606的状态机驱动,并口模式,verilog代码,可正常使用。-AD7606 state machine drive, verilog code, can be normal use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2653
    • 提供者:小波
« 1 2 ... 8 9 10 11 12 1314 »
搜珍网 www.dssz.com