CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 2

搜索资源列表

  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. pgm

    0下载:
  2. uart vhdl code contains all the neceesary things for a uart of speed 2 mbps and has a fifo of 64 KB
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:206229
    • 提供者:libin
  1. xge_mac

    0下载:
  2. 10G MAC ip核源码其中包含了三个版本。经过测试正确无误。-======================== 10GE MAC Core ======================== ------------------------ 1. Directory Structure ------------------------ The directory structure for this project is shown below.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:898607
    • 提供者:xuchao
  1. iverilog-0.9.2

    0下载:
  2. iverilog是verilog仿真综合工具,能够将verilog源代码编译为不同的目标文件-Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code writen in Verilog (IEEE-1364) into some target format
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2016-01-24
    • 文件大小:1477441
    • 提供者:fanyuchuan
  1. DE2_70_CAMERA_v1.0.2

    0下载:
  2. 應用程式verilog相關事件,參考文件-Verilog application related events, refer to documents ... etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1042339
    • 提供者:ke
  1. verilog_program

    0下载:
  2. 各种初学Verilog者需要练习的实例代码集锦,包含加法器,BCD计数器,2分频,交通灯等等!-Beginners need to practice a variety of examples of Verilog code highlights, including the adder, BCD counters, 2 frequency, traffic lights and more!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:32363
    • 提供者:lyh
  1. verilog

    0下载:
  2. 设计可以对两个运动员赛跑计时的秒表:(1)只有时钟(clk)和一个按键(key),每按一次,key是持续一个时钟周期的高电平脉冲 (2)秒表输出用0-59的整数表示 (3)key: (A)按一下key,开始计数; (B)第一个运动员到终点时第二下key,记住时间,继续计数; (C)二个运动员到时按第三下key,停止计数; (D)然后按第四下key,秒表输出第一个运动员到终点的时间,即按第二下key时记住的计数值; (E)按第五下key,秒表清0。 -Design
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-28
    • 文件大小:823
    • 提供者:gab
  1. Verilogexample

    0下载:
  2. verilog example 1.NAND Latch To Be Simulated.2.A 16-Bit Counter.3.A D-Type Edge-Triggered Flip Flop.4.A Clock For the Counter.5.The Top-Level Module of the Counter.6.The Counter Module Described With Behavioral Statements.7.Top Level of the Fibonacci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:31195
    • 提供者:vkiy
  1. verilog_tutorial

    0下载:
  2. Chapter 1 Introduction Chapter 2 History of Verilog Chapter 3 Design and Tool Flow Chapter 4 My First Program in Verilog Chapter 5 Verilog HDL Syntax and Semantics Chapter 6 Gate Level Modeling Chapter 7 User Defined Primitives Chapter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:773234
    • 提供者:zhangyung
  1. PS2_keyboard

    0下载:
  2. implement a PS/2 keyboard host controller by using verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:82807
    • 提供者:chalis
  1. Realization_of_FPGA_for_LDPC_encoding

    0下载:
  2. 低密度奇偶校验码(简称LDPC码)是目前距离香农限最近的一种线性纠错码,它的直接编码运算量较大,通常具有码长的二次方复杂度.为此,利用有效的校验矩阵,来降低编码的复杂度,同时研究利用大规模集成电路实现LDPC码的编码.在ISE 8.2软件平台上采用基于FPGA的Verilog HDL语言实现了有效的编码过程,为LDPC码的硬件实现和实际应用提供了依据-Abstract:Low.density parity·check code(LDPC code)is a kind of linear eror
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:166294
    • 提供者:秦小星
  1. Sonix_2.4G_wireless_audio_module_spec_0v3

    0下载:
  2. 2.4G射频语音通信芯片设计方案,采用Sonix芯片,集成AD,滤波等-2.4G RF voice communication chip design, using Sonix chip, integrated AD, filtering
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:878142
    • 提供者:lwb
  1. dct

    0下载:
  2. all ok...4 Dec 2009 ... In this method the 2-Dimensional DCT is obtained by taking two ... column-wise 1D DCT is ascertained which gives the 2D DCT of the data. ... The design is done in Verilog HDL and the simulation is done in Modelsim 6.3b.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-14
    • 文件大小:1024
    • 提供者:haziq36
  1. ps2_lcd

    0下载:
  2. ps/2——lcd verilog 实验-ps/2--lcd verilog test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:89402
    • 提供者:junjie
  1. 2

    0下载:
  2. Verilog多功能数字时钟,是一个可在开发板上实现的时钟程序,不仅可以做为时钟用,还另外加了个跑秒的功能.-Verilog multifunction digital clock is a clock in the development process to achieve the board, not only can be used as the clock use, but also other added a second run features.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2767
    • 提供者:吕恋恋
  1. uart2bus_latest.tar

    0下载:
  2. 文档详尽、已验证的UART工程,含有testbench文件。采用VHDL、Verilog语言编写。-Detailed documentation, has proven UART works with testbench file. Using VHDL, Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:224229
    • 提供者:robin
  1. watch(2)

    0下载:
  2. digital watch : verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:398887
    • 提供者:hanjaeyoung
  1. verilog

    0下载:
  2. Verilog HDL 1.红外线发射调制电路 2.分数分频 3.最大公约数和最小公倍数 4.秒表-1.infra transmission modulator 2.fractal frequency divider 3.maximal common divisor 4.timer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:11054
    • 提供者:黄甦
  1. Zet-1.2.0

    0下载:
  2. 在DE1开发板上运行Windows系统,编写语言是Verilog-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1989362
    • 提供者:seasun
  1. Booth_Multiplier_8bit_Radix_4_With_12bit_Adder_Ko

    0下载:
  2. verilog code for Booth Multiplier 8-bit Radix 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4526
    • 提供者:abanuaji
« 1 2 3 4 5 67 8 9 10 11 ... 28 »
搜珍网 www.dssz.com