CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog bcd

搜索资源列表

  1. sn7448

    0下载:
  2. verilog实现的“BCD/七段译码器”。-verilog implementation " BCD/Seven-Segment Decoder."
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:567
    • 提供者:王先生
  1. bcd_updown_counter2

    0下载:
  2. It is a simple 4-digit bcd up down counter written in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:574
    • 提供者:jason
  1. seven_seg_decoder

    0下载:
  2. ITS A verilog HDL code for seven segment display .. on different FPGA there are seven segment displays available .. any number from 0 to 9 can be displayed on it .. using this decoder a BCD input is required .. that would be decoded to seven segment
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:739
    • 提供者:hassan
  1. binary_to_bcd

    0下载:
  2. this a verilog code .. it converts 9 bit integer value to its corresponding twelve bit BCD number that is required as an input to a seven segment decoder or otherwise also an integer that may be represented by binary bits can be changed to its corres
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:668
    • 提供者:hassan
  1. digital-frequency

    0下载:
  2. 数字频率计 采用Verilog语言编写,分为8个模块,分别是计数器,门控,分频,寄存器,多路选择,动态位选择,BCD译码模块-Digital frequency meter using Verilog language, divided into eight modules, namely, the counter, gated, frequency, register, multiplexer, Dynamic Choice, BCD decoding module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1265776
    • 提供者:multidecoder
  1. a_bcd_counter_using_verilog

    0下载:
  2. 3 bits bcd counter using verilog
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:441090
    • 提供者:atula136
  1. BCD

    0下载:
  2. 模为 60 的 BCD码加法计数器,采用verilog语言编写。-BCD code module for the addition of 60 counters, using verilog language.
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:634
    • 提供者:kevin
  1. 2BCD

    0下载:
  2. 二进制转BCD码 verilog hdl Quartus II 9.0sp2 编译通过 所有的文件-Binary to BCD code verilog hdl Quartus II 9.0sp2 compile all the documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:286916
    • 提供者:王冠
  1. verilog

    1下载:
  2. Verilog初学者例程:1位全加器行为级设计、1位全加器门级设计、4位超前进位加法器、8位bcd十进制加法器、8位逐次进位加法器、16位超前进位加法器、16位级联加法器、多路四选一门级设计、七段译码器门级设计-Verilog routines for beginners: a behavioral-level design full adder, a full adder gate-level design, 4-ahead adder, decimal 8-bit bcd adder, 8-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1325820
    • 提供者:城管111
  1. BCD-autoplus

    0下载:
  2. 利用Verilog HDL语言,编写一个2为BCD码加法器程序,并在DE2板是实现功能的运用。-Auto plus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:975036
    • 提供者:HTJ_L
  1. bcd-decimal

    0下载:
  2. bcd to decimal verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:150389
    • 提供者:Akbas
  1. BCD

    0下载:
  2. Verilog hdl编写的二进制转BCD码程序-BCD binary switch program written in Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:174970
    • 提供者:
  1. BCD

    0下载:
  2. 利用Verilog HDL语言实现BCD码的加法-Using Verilog HDL language implementation of BCD addition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:40522
    • 提供者:姚远
  1. BCD_ok-BCD

    0下载:
  2. Verilog 4位计时器,可以在CPLD开发板上成功运行-Verilog CPLD FPGA
  3. 所属分类:MPI

    • 发布日期:2017-04-05
    • 文件大小:214191
    • 提供者:猎狐
  1. MATLAB-and-Verilog-codes

    0下载:
  2. there are 5 files. the first two codes are written in Matlab as m-files in control system design to show step responses. in contrast, the final three codes are written in verilog ( Quartus II) used in Altera one of them for BCD adder and the other fo
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2147
    • 提供者:YAZEN H
  1. BCDma-verilog

    0下载:
  2. 二进制转bcd码,很好理解,适合新手用,可以学习学习-Bcd binary switch code, well understood, suitable for novice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:221542
    • 提供者:changrenhe
  1. Binary-BCD-code

    0下载:
  2. 用Verilog语言写的二进制转BCD码,可以作为课堂教学实验或者课后作业,有完整工程代码-Written in Verilog language transfer binary BCD code, can be used as a teaching experiment or the homework, a complete project code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:184243
    • 提供者:ww
  1. BCD-Counter

    0下载:
  2. Verilog Module for parity
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:24721
    • 提供者:Raz
  1. BCDadder

    0下载:
  2. cource code for BCD adder in verilog language
  3. 所属分类:书籍源码

    • 发布日期:2017-12-22
    • 文件大小:8192
    • 提供者:zebl
  1. HEX2BCD

    0下载:
  2. 十六进制转BCD,包含设计文件和仿真文件,工程文件(Sixteen decimal to BCD, including design documents and simulation files, engineering documents)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:3072
    • 提供者:lqx
« 1 23 4 5 »
搜珍网 www.dssz.com