CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog divider

搜索资源列表

  1. Verilog_FPGA_fp

    0下载:
  2. 用Verilog实现基于FPGA的通用分频器-using Verilog FPGA-based Universal Frequency Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125483
    • 提供者:xiong
  1. VerilogHDLshejifengpingqihe32weijishuqi

    0下载:
  2. 本文件介绍的是用VerilogHDL语言设计分频器和32位计数器.-This paper presents the design using Verilog HDL language Frequency Divider and 32 counters.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:159000
    • 提供者:少华
  1. half_clk

    0下载:
  2. 用verilog编写适中分频器 并且还有测试程序-verilog prepared with moderate frequency divider and another test procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:21859
    • 提供者:赖建
  1. fdivision

    0下载:
  2. 用verilog编写适中分频器 并且还有测试程序-verilog prepared with moderate frequency divider and another test procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26364
    • 提供者:赖建
  1. c18_divider.rar

    0下载:
  2. 精通verilog HDL语言编程源码之4--常用除法器设计,Proficient in language programming verilog HDL source of 4- Common divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1726
    • 提供者:李平
  1. verilog1

    0下载:
  2. 用verilog语言编写的6分频分频计数器。分频后用来控制蜂鸣器响,也可以修改代码做成更高分频的计数器。压缩包内也包含此分频器的modelsim仿真文件-Verilog language with 6 frequency divider counter. Frequency and used to control the buzzer sound, you can modify the code to make a higher frequency counter. Compressed pac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:143141
    • 提供者:广子
  1. freq_div

    0下载:
  2. 用verilog实现基于fpga的通用分频器,-Divider using verilog achieve common
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:68965
    • 提供者:mend
  1. v

    0下载:
  2. Verilog写的二分频电路代码,FPGA,实现将输入时钟信号的频率变成原来的1/2-Write Verilog code for the second divider circuit, FPGA, to achieve the frequency of the input clock signal into the original 1/2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:6655
    • 提供者:wudong
  1. verilog_18bit_Div

    0下载:
  2. verilog编写的18位输入高精度的除法器,带说明文件和测试代码。-18 input precision divider verilog prepared with documentation and test code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4762
    • 提供者:wuming
  1. division1

    0下载:
  2. 基于vhdl/verilog的18位除法器程序。已经过仿真和综合。-Based on vhdl/verilog program for 18-bit divider. Has been simulation and synthesis.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:521
    • 提供者:包鼎华
  1. verilog_instance

    0下载:
  2. 20多个十分实用的verilog例子,如状态机,除法器等-More than 20 very practical verilog examples, such as state machines, divider, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:92769
    • 提供者:angelammo
  1. F5D

    0下载:
  2. 这是用verilog硬件描述语言编的5分频代码-This is verilog hardware descr iption language code is compiled by five divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:853
    • 提供者:李松
  1. verilogDiv

    0下载:
  2. 高精度的二进制触发电路的verilog 源代码 结果低10位二进制数为小数 -binary divider designed with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1675
    • 提供者:yangyanwen
  1. project code5

    0下载:
  2. 数控分频器的verilog代码在eda上实现(verilog for numerical control divider)
  3. 所属分类:Windows编程

    • 发布日期:2018-04-18
    • 文件大小:2863104
    • 提供者:kaikai894
  1. StopWatch

    0下载:
  2. 利用Verilog实现数字秒表(基本逻辑设计分频器练习) 设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。 设置启/停开关。当按下启/停开关后,将启动秒表输出,当再按一下启/停开关时,将终止秒表的输出。 采用结构化设计风格描述,即先设计一个10分频电路,再用此电路构建秒表电路。(Using Verilog to realize digital stopwatch (basic l
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:1024
    • 提供者:VoidShooter
  1. clkdiv

    0下载:
  2. 该模块是一个常用的clk分频器;其内部参数可以动态调整!(This module is a common CLK frequency divider; its internal parameters can be dynamically adjusted!)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:944128
    • 提供者:wybingo27
  1. Divider

    0下载:
  2. this is divider for verilog
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:5120
    • 提供者:Hainder
  1. divider fpga4student

    0下载:
  2. 46bit devider with verilog language
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:3072
    • 提供者:beonljn3
  1. y1

    0下载:
  2. FPGA input clock frequency 50Mhz, try to design a frequency divider to realize 1Hz count signal. Requirements: writing design modules; Write the test model.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:21963776
    • 提供者:pluss
  1. FP_divider

    0下载:
  2. floating point divider for 32 bit with test bench
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:11264
    • 提供者:liki20
« 1 2 3 4 56 7 8 9 10 »
搜珍网 www.dssz.com