CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog rs232

搜索资源列表

  1. async_transmitter

    0下载:
  2. RS232。串行通信接口RS232,verilog -failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:984
    • 提供者:韩小
  1. uartverilog

    0下载:
  2. 该程序是Verilog写的串口收发程序,具有基本的收发功能,经过验证,能使初学者很好了解rs232,和Verilog-The program is written in Verilog serial transceiver program, with the basic send and receive functions, proven, good for beginners can understand rs232, and Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:39412
    • 提供者:徐飞
  1. Serial-debugging

    0下载:
  2. 本文分析RS232 串口通信的原理,介绍Verilog 模块调用的方法-This paper analyzes the principle of the RS232 serial communication, introduction to the Verilog module calls the method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:516385
    • 提供者:dltt
  1. async_transmitter

    0下载:
  2. RS232的FPGA code,利用Verilog實現傳輸的部分。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:985
    • 提供者:AA
  1. Verilog_RS232(Uart)

    0下载:
  2. 用verilog编的rs232,uart串口程序,很好用-a program about rs232 with verilog
  3. 所属分类:Com Port

    • 发布日期:2017-04-13
    • 文件大小:2527
    • 提供者:孙天龙
  1. USART

    0下载:
  2. RS232串口通信的VERILOG代码,包含了测试文件,及参数文件,用户只需要修改参数文件里的参数即可满足不同的应用需求;由于串口逻辑比较简单,程序中没有注释;-RS232 serial communication VERILOG code contains the test files and parameter files, users only need to modify the parameters in the parameter file to meet different app
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3619
    • 提供者:chendongkui
  1. rs232_interface

    0下载:
  2. RS232串行接口verilog代码和测试-Verilog code and testing of the RS232 serial interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:39664
    • 提供者:李雪利
  1. uart_232

    0下载:
  2. RS232的verilog控制程序,8位数据传输,奇校验,一个停止位,已经过singnaltap验证-RS232 verilog control procedures, the eight data transmission, odd parity, one stop bit, verification has been singnaltap
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:5306178
    • 提供者:liulu
  1. RS232Control

    0下载:
  2. FPGA verilog代码描写的RS232控制模块-RS232 control module in Verilog for FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-12-04
    • 文件大小:747
    • 提供者:郝慧峰
  1. RS232_PS2_Control

    0下载:
  2. Verilog语言编写的RS232控制模块以及RS232到PS2的通信接口模块。整个模块已经通过Virtex4的FPGA平台上的硬件仿真和验证。-Verilog HDL model for RS232 and PS2 interface communication control block. It includes the RS232 RX-TX model as well as PS2 model, and it have already been proven in FPGA virtex
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-01
    • 文件大小:100032
    • 提供者:Alex Liu
  1. chenyu--chengxu

    0下载:
  2. 利用verilog语言编写的RS232转换到RS485程序,实现总线通信-Verilog language converted to RS485 RS232 bus communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:197652
    • 提供者:张思文
  1. bit4_4

    0下载:
  2. 利用verilog语言编写的控制4个继电器开关动作的程序, 采用RS232通信-Verilog language program control the four relay switch action RS232 communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:432439
    • 提供者:张思文
  1. 1.UART

    0下载:
  2. 该代码主要实现UART的串行通信,针对的是RS232芯片,同时包含了verilog和VHDL编写的程序-The code UART serial communication, RS232 chip, also contains a program written in verilog and VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-11-17
    • 文件大小:1501494
    • 提供者:mingbo
  1. uart_Verilog

    0下载:
  2. 基于Verilog的RS232串口通信实验,可发送256位数据,并在Altera的EP4CE15F17C8芯片上验证成功。-Verilog-based RS232 serial communication experiment, 256-bit data can be sent on Altera' s EP4CE15F17C8 chip authentication is successful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:4826337
    • 提供者:xuxinchuan
  1. verilog_rs232_rx_tx

    0下载:
  2. fpga中verilog实现的rs232串口收发逻辑,基础入门,参考学习串口收发-FPGA in Verilog implementation RS232 serial port transceiver logic, based on entry, refer to the study serial transceiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:6153
    • 提供者:fan
  1. RS232_tx

    0下载:
  2. 串口发送程序,verilog实现,可综合。-program for rs232
  3. 所属分类:Console

    • 发布日期:2017-04-07
    • 文件大小:910
    • 提供者:zhaolei
  1. UART_RX

    1下载:
  2. 232串口源程序 verilog实现,频率可调 接受部分-RS232 verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1345
    • 提供者:orca
  1. UART_TR

    0下载:
  2. rs232串口通信 verilog代码 发射部分-RS232 verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1421
    • 提供者:orca
  1. QUARTUS_WORK_FORTH

    0下载:
  2. 基于verilog语言的,FPGA程序实现电脑与FPGA串口的数字传输,硬件设备为EP1C3T100C8,usb转RS232芯片为FT232BM,-Based verilog language, FPGA program FPGA serial digital transmission of computer and hardware devices to EP1C3T100C8, usb to RS232 chip FT232BM,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:598044
    • 提供者:FT_Young
  1. exp6_Uart

    0下载:
  2. xilinx FPGA的rs232 Verilog HDL程序-xilinx FPGA的rs232 Verilog HDL
  3. 所属分类:MPI

    • 发布日期:2017-04-07
    • 文件大小:648550
    • 提供者:朱明俊
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com