CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 数码管

搜索资源列表

  1. Digital_freq_tester

    0下载:
  2. VHDL编写的数字显示型频率测试仪,用数码管显示-VHDL figures prepared frequency tester, digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2044
    • 提供者:王冰
  1. cnt_fry

    0下载:
  2. 本程序功能是由VHDL语言实现对频率的测量,然后用数码管进行显示-The program features by the VHDL language to achieve the frequency of measurement, and then use the digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:390201
    • 提供者:daigunagzhi
  1. CPLDVHDL2

    0下载:
  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点-In addition to the completion of the use of a chip clock source, buttons, speakers and monitors (digital tube) all the figures outsi
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:5627
    • 提供者:yuemiss
  1. clock

    0下载:
  2. 用VHDL语言写的实时时钟 用数码管显示 基于的控制芯片是EP1C6Q24C08-VHDL language used to write the real-time clock with digital display are based on the control chip EP1C6Q24C08
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:353056
    • 提供者:周到
  1. FPGA-drivenLEDdisplay

    0下载:
  2. FPGA驱动LED显示:运用硬件描述语言(如VHDL)设计一个显示译码驱动器,即将要显示的字符译成8段码。由于FPGA有相当多的引脚端资源,如果显示的位数N较少,可以直接使用静态显示方式,即将每一个数码管都分别连接到不同的8个引脚线上,共需要8×N条引脚线控制.-FPGA-driven LED display: the use of hardware descr iption languages (such as VHDL) design a display decoder driver, ab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:904
    • 提供者:王娟
  1. cu

    0下载:
  2. 用VHDL硬件描述语言编写数码管译码显示-Using VHDL hardware descr iption language decoding digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:812
    • 提供者:万俟斌
  1. EDAtrafficlightdesign

    0下载:
  2. 设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。-EDAtrfficlight desig
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:58144
    • 提供者:jimmy
  1. 10

    0下载:
  2. 十进制计数的数码管滚动显示(VHDL源程序).-Decimal counting tube rolling display of the digital (VHDL source code).
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:704
    • 提供者:lk
  1. VHDLSourceProgramofAudioFrequencySignalGenerator.r

    0下载:
  2. 音频信号发生器的VHDL源程序,在FPGA中实现不同频率的输出并将按键信息送给数码管显示。-VHDL source program of Audio Frequency Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1011
    • 提供者:杨波
  1. shumaguanxianshishizhong

    0下载:
  2. 数码管显示时钟的VHDL源程序,在数码管上显示时钟信息。-VHDL Source Program of Numerical code Tube Demonstration
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1315
    • 提供者:杨波
  1. decl7s

    0下载:
  2. 共阴极七段数码管的译码程序,用VHDL程序编写-Seven-Segment LED common cathode of the decoding process, and VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:40256
    • 提供者:田怡
  1. shuoming

    0下载:
  2. VHDL 开发一个七段数码管显示时钟,非常不错,欢迎分享下载.-VHDL IS VERY EASY.WELCOME LOAD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:95260
    • 提供者:lijian
  1. traffic

    0下载:
  2. 接口如下所示:clk:时钟输入端,此信号是串行扫描的同步信号; data_control[7..0]:8个分别控制数码管显示的输入信号; led_addr[7..0]:对8个数码管进行串行扫描的输出控制信号; seg7_data[6..0]驱动7段数码管各显示段的输出信号; -VHDL programing
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:4653
    • 提供者:lidan
  1. watch

    0下载:
  2. 用VHDL设计实现秒表功能:秒表功能包括开始/暂停键和清零键,精度要达到0.01秒,所以计数显示共有八个数码管,而每个数码管又有八个管脚,因此采用扫描显示的方法,减少管脚数量。时钟脉冲由最低位给入,采用异步方式驱动更高位的计数,时钟频率应该为100Hz,通过数码管显示,共有八个数码管,所以扫描频率应在100Hz的8倍以上。(付按键消抖代码)-VHDL design with a stopwatch functions: stopwatch features include Start/PAUSE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:27292
    • 提供者:李月
  1. qda

    1下载:
  2. 三路智力竞赛抢答器,利用VHDL设计抢答器的各个模块,并使用EDA 工具对各模块进行仿真验证。智力竞赛抢答器的设计分为四个模块:鉴别锁存模块;答题计时模块;抢答计分模块以及扫描显示模块。把各个模块整合后,通过电路的输入输出对应关系连接起来。设计成一个有如下功能的抢答器: (1)具有第一抢答信号的鉴别锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,数码管显示出抢答者的组别。同时电路处于自锁状态,使其他组的抢答器按钮不起作用。 (2)具有计分功能。在初始状态时,主持
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:234821
    • 提供者:menglj
  1. SevenSegmentDisplay

    0下载:
  2. VHDL预压7端数码管 利用不同的两种方法实现-7-end digital works two ways to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:854
    • 提供者:赵珑
  1. miaobiao

    0下载:
  2. VHDL语言实现秒表并在共阴数码管上动态显示十进制数值-VHDL language stopwatch and digital control on a total of negative dynamic display decimal values
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:53397
    • 提供者:高天天
  1. 61EDA_D964

    0下载:
  2. 4_4小键盘扫描+VHDL语言的,可以实现数码管显示,有译码功能-Scan 4_4 small keyboard+ VHDL language can be achieved digital display, a decoding function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:185238
    • 提供者:随云
  1. 7

    0下载:
  2. vhdl七段数码管显示程序,上机实验过,完全正确-Seven-Segment LED display vhdl procedure on the experimental machine, and absolutely correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4011
    • 提供者:weimin
  1. serial

    0下载:
  2. 该程序用vhdl 编写,模拟串口工作,对上位机发送数据在串口调试工具下显示,接受上位机数据在数码管上显示-Vhdl prepared to use the program to simulate the serial port work, send data to the PC serial port debug tools in the next showed that IPC data in digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2869
    • 提供者:gjp_rain
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 28 »
搜珍网 www.dssz.com