CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl led

搜索资源列表

  1. LED_control

    0下载:
  2. 使用vhdl语言实现对led的控制,还有电路仿真-Using vhdl language implementation of the led control, as well as circuit simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:5179
    • 提供者:zhang
  1. myled

    0下载:
  2. VHDL编写的4个led灯循环明暗变化,通过改变波形占空比实现,课堂作业自编程序-VHDL prepared by the four led lights cycle shading changes, by changing the waveform duty cycle to achieve, self-compiled class operating procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:88798
    • 提供者:Archimedes Lu
  1. liushuideng

    0下载:
  2. 这是led流水灯的vhdl描述,很好的啊-led s hdl describe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1043
    • 提供者:blackstone
  1. shuzizhong

    0下载:
  2. 用VHDL程序控制六个LED来进行时分秒的计时。-using the VHDL programm to control six LED lights to display the time.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1063
    • 提供者:天行
  1. snag

    0下载:
  2. 4人抢答器的VHDL源代码.当设计文件加载到目标器件后,按下核心板复位按键,表示开始抢答。然后,同时按下S1-S4,首先按下的键的键值被数码管显示出来,对应的LED灯被点亮。与此同时,其它按键失去抢答作用。-4 Responder of the VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:742
    • 提供者:王唐小菲
  1. ledrom

    0下载:
  2. 流水灯的VHDL源代码。当设计文件加载到目标器件后,LED灯会按程序设定的规律进行闪烁。-Water lights VHDL source code. When the design document, after loading to the target device, LED lantern according to the procedure set by law of flicker.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1811
    • 提供者:王唐小菲
  1. VHDLLED

    0下载:
  2. VHDL基于实验开发板的按键处理与LED显示
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3535
    • 提供者:甘保安
  1. bcdseg7

    0下载:
  2. bcd码的七段数码管显示vhdl程序 bcd码的七段数码管显示vhdl程序-bcd-yard seven-segment LED display vhdl program bcd-yard seven-segment LED display vhdl program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:254108
    • 提供者:deyi
  1. experiment5_1

    0下载:
  2. VHDL实验5,七段数码显示译码器设计。1)用VHDL设计7段数码管显示译码电路,并在VHDL描述的测试平台下对译码器进行功能仿真,给出仿真的波形。-VHDL Lab 5, Seven-Segment Display Decoder. 1) design using VHDL 7 segment LED display decoder circuit, and the VHDL descr iption of the decoder under test platform for functio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:143764
    • 提供者:童长威
  1. exer1

    0下载:
  2. 作为基于FPGA的QuartusII平台上验证成功的LED灯程序的FPGA实现(VHDL)-As FPGA-based platform QuartusII LED lights proved to be successful FPGA implementation process (VHDL)
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:610
    • 提供者:房先生
  1. 5

    0下载:
  2. LED控制VHDL程序与仿真,比较简单适合操作-VHDL program LED control and simulation, suitable for operation of relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5354
    • 提供者:shaohong
  1. 123

    0下载:
  2. 基于FPGA和VHDL的LED点阵汉字滚动显示设计-LED1602
  3. 所属分类:Document

    • 发布日期:2017-04-17
    • 文件大小:339267
    • 提供者:刘德华
  1. LED8

    0下载:
  2. 应用VHDL编写的基于FPGA的LED数码管操作程序-Applications written in VHDL FPGA-based procedures LED digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:209308
    • 提供者:紫云
  1. seg70

    0下载:
  2. 用VHDL写的7段LED循环显示,8根Data,8根Cotl,经过实际的板子验证。-Written with VHDL 7 segment LED display cycle, 8 Data, 8 根 Cotl, after the actual board certification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:885
    • 提供者:wwww
  1. TheLEDlightsbasedonVHDLprogram

    0下载:
  2. 基于VHDL实现LED等的控制,可作为后续交通灯设计的基础程序。已调试运行成功。-LED-based VHDL to achieve such control, can be used as the basis for follow-up procedures for traffic signal design. Has been successful commissioning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:298980
    • 提供者:戚澈
  1. process-simulation

    0下载:
  2. 20多个FPGA设计实例(程序+仿真图),包含LED控制,LCD控制,出租车计价器VHDL程序与仿真,波形发生程序,步进电机定位控制系统VHDL程序与仿真等等,VHDL语言编译。-More than 20 instances of FPGA design (process+ simulation map), contains the LED control, LCD control, taxi meter VHDL procedures and simulation, waveform proc
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:1281787
    • 提供者:candice
  1. songer

    0下载:
  2. vhdl实现乐曲演奏,乐曲可以自行替换,led显示音调.-vhdl achieve music performances, music can replace on their own, led display tone.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:529852
    • 提供者:周鹏
  1. keyboard

    0下载:
  2. 用VHDL语言实现4*4键盘的识别,扫描键盘,译码并点亮开发板上相应led-4*4keyboard,VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1104
    • 提供者:yanzi
  1. EP2C8_LED_cotrol

    0下载:
  2. VHDL语言编写的FPGA程序用于控制LED的电量,FPGA跑马灯-Written in VHDL, FPGA program used to control the LED' s power consumption, FPGA marquees
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-06
    • 文件大小:1208
    • 提供者:
  1. 3_8_DISPLAY

    0下载:
  2. vhdl实现3-8译码器,并通过7段数码管显示程序-vhdl decoder to achieve 3-8, and by 7 segment LED display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:215242
    • 提供者:陈乾
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 22 23 »
搜珍网 www.dssz.com