CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl led

搜索资源列表

  1. balucaidhengsheji

    0下载:
  2. 采用VHDL设计的八路彩灯设计,可实现四种不同状态的彩灯显示形式-This is a colourful led-light design which can realize different displayer of led-light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3000
    • 提供者:
  1. led_test

    0下载:
  2. LED测试程序工程文件,VHDL代码,在Quartus II 6.0中测试通过。-led vhdl test programe in Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:170816
    • 提供者:程光
  1. 8.2LED

    0下载:
  2. FPGA中使用VHDL语言编写的LED控制程序-FPGA using VHDL language of the LED control program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5235
    • 提供者:felix chin
  1. success

    0下载:
  2. 各种FPGA初级入门程序(已调试通过),包括计数器、流水灯、7段数码管显示以及PS2键盘接口驱动,采用VHDL语言编写,适合初学者参考-Various FPGA primary entry procedures (already debugged), including the counter, water light, 7 segment LED display and PS2 keyboard interface driver, using VHDL language, suitable f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1450369
    • 提供者:王玉强
  1. pwm

    0下载:
  2. VHDL编写的PWM波控制LED亮度的程序。-Written in VHDL wave PWM LED brightness control procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:550
    • 提供者:飞星
  1. fsh

    0下载:
  2. 这是我的毕业可用8位的LED显示,有小数点的。设计哦,可以用的。可供参考-VHDL-based digital frequency meter With the rapid development of electronic technology, FPGA/CPLD appear in its high-speed, high reliability, series parallel mode of outstanding merit widely used in the electronic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4354498
    • 提供者:战魔
  1. FPGA

    0下载:
  2. 主要介绍VHDL下,电子时钟、LCD、LED、电子琴,电梯等开发程序。-Introduces the VHDL, the electronic clock, LCD, LED, keyboard, elevator and other development programs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13878565
    • 提供者:huizeng
  1. shiyan3

    0下载:
  2. 利用文本编辑器和VHDL语言设计一个半加器和或门,将其定义成Symbol图元,在图形编辑器中利用这些Symbol将其设计成一个全加器。下载到CPLD芯片中,接入输入电平信号和输出LED显示器。还有一个4-16译码器的VHDl程序-adder 4-16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:408594
    • 提供者:何斌
  1. qiduanshumaguandongtaixianshi0000-9999

    0下载:
  2. 七段数码管动态显示 采用vhdl语言设计 编译 已通过-Seven-Segment LED dynamic display design using vhdl language compiler has passed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:426058
    • 提供者:王冠
  1. Example

    0下载:
  2. VHDL开发例程,包括LED、BEEP,LCD1602显示。-VHDL development of routine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:467192
    • 提供者:diy_dy
  1. The.design.of.the.voting.machine

    0下载:
  2. 表决器的设计 设计一个三人的表决器,其中有二人以上同意则投票通过。演示结合实验箱上A区、J区的LED及按键。工作过程如下:带锁的按键按下时,按键上的灯亮表示投票同意;按键松开时,灯熄灭表示投票反对;SW1-SW3这三个按键是3人的投票键,L1灯亮表示投票通过,且蜂鸣器响;L1灯熄灭表示投票未通过,且蜂鸣器不响。利用原理图和VHDL编程相结合的方法来实现-The design of the voting machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:35734
    • 提供者:duopk
  1. EDA

    0下载:
  2. eda:用VHDL设计一个七段数码管,在led 上显示0——9的数字-eda: VHDL design with a seven-segment digital tube, led display in the 0- 9 numbers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:627
    • 提供者:chunyu
  1. jiaoyong

    0下载:
  2. 用vhdl实现交通灯的控制 (1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。 (2) 主干道处于常允许通行状态,而支干道有车来才允许通行。 (3) 当主、支道均有车时,两者交替允许通行,主干道每次放行45 s,支干道每次放行25 s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5 s的黄灯作为过渡,并进行减计时显示。 -Vhdl achieved by control of traffic lights (1) main, branch roads, each h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:700487
    • 提供者:落雪晚霞
  1. pwm

    0下载:
  2. vhdl的pwm代码可以控制LED的亮度255级调节 -The pwm vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:238751
    • 提供者:邓忠飞
  1. lcdtest

    0下载:
  2. 用VHDL语言实现基于FPGA的LED显示模块设计,很好的实现了LED的显示功能-FPGA using VHDL, the LED-based display module designed to achieve a good display of the LED' s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:259820
    • 提供者:汪晓非
  1. jishuqi

    0下载:
  2. 带计数使能、异步复位、带进位输出的增1六位二进制计数器,计数结果由共阴极七段数码管显示。用VHDL源代码描述-With count enable, asynchronous reset, brought by a six-bit output of the binary counter, counting the results from the common cathode seven segment LED display. Described with the VHDL source co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:10347
    • 提供者:小杰
  1. Experimental-examples

    0下载:
  2. 实验例子,讲解了一个关于VHDL的几个例子,如led,clock和背光等驱动程序。-Experimental examples to explain a few examples on VHDL, such as led, clock and backlight and other drivers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:340212
    • 提供者:shenwenwu
  1. key_led

    0下载:
  2. ALTERA公司的FPGA开发板的按键控制ledVHDL程序-ALTERA key led VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:198591
    • 提供者:周慧
  1. led_lattice

    0下载:
  2. LED实验 VHDL语言 采用四个595作为驱动-LED experiment VHDL, as driven by four 595
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:67346
    • 提供者:bine
  1. EDA

    0下载:
  2. 基于VHDL实现乒乓球游戏机的功能,以发光二极管模拟乒乓球及球网,可以选择球的速度-VHDL implementation based on the function of table tennis games, table tennis and with LED simulated the net, you can choose the speed of the ball
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:947350
    • 提供者:jinlongli
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 23 »
搜珍网 www.dssz.com