CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vivado

搜索资源列表

  1. GPIO_PL_IPCORE

    0下载:
  2. VIVADO 2016.4 通过PS和PL实现GPIO接口的实现方式(3),这是完整工程!-VIVADO 2016.4 u901A u8FC7PS u548CP u5B4E u73B0GPIO u63A5 u53E3 u7684 u5B9E u73B0 u65B9 u5F0F uFF083 uFF09 uFF0C u8FD9 u662F u5B8C u6574 u5DE5 u7A0B uFF01
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:23896126
    • 提供者:lisq
  1. I2C_slaver_verison3.0

    0下载:
  2. I2C从机模块,包含testbench,平台是vivado,仿真测试通过。(I2C slave module, including testbench, the platform is vivado, simulation test passed.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:2095104
    • 提供者:wenxulyu
  1. 简易数字钟

    1下载:
  2. 基于basys3的简易数字钟,可用于vivado开发环境入门,功能有计时和显示模块。(Basys3 based simple digital clock, vivado development environment can be used for entry, function, timing and display module.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-10-18
    • 文件大小:349184
    • 提供者:三斤泽
  1. code.sources

    0下载:
  2. 秒表代码加上相应的key,测试通过可以直接用于vivado(zcscscsasfsdfsfasfasf)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:3072
    • 提供者:辕门暮雪
  1. vivado-boards-master

    0下载:
  2. 弟弟顶顶顶顶顶我的顶顶顶顶顶顶顶顶顶顶顶顶顶顶顶(dadawdafefrgrgsrfsfsefegweg)
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:194560
    • 提供者:聚会
  1. mcu_led2

    0下载:
  2. 基于vivado平台,使用microblaze搭建一个小系统,并能点亮led(Based on the vivado platform, the use of MicroBlaze to build a small system, and can light LED)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:69550080
    • 提供者:D蒙
  1. MCPU

    1下载:
  2. 多周期CPU的verilog代码,用vivado可以仿真出波形(multi-cycle CPU by verilog and using vivado to simulate.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-11
    • 文件大小:5876335
    • 提供者:Lsinger
  1. led_test

    0下载:
  2. 实现流水灯的控制verilog程序,源程序vivado 2015.4(Achieve water light control, Verilog procedures)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-14
    • 文件大小:7444622
    • 提供者:win1234
  1. mult88

    2下载:
  2. 两个8*8矩阵相乘,每个矩阵内部元素相同,简化运算;modelsim编译仿真,ise或vivado下载,实现FPGA显示。(Two 8*8 matrix multiplication, each element of the same matrix, simplifying the operation; Modelsim compiler simulation, ISE or vivado download, to achieve FPGA display.)
  3. 所属分类:并行计算

    • 发布日期:2017-12-22
    • 文件大小:7168
    • 提供者:xx.
  1. lab1

    0下载:
  2. Verilog lab1 is used for learning vivado
  3. 所属分类:编译器/解释器

    • 发布日期:2017-12-24
    • 文件大小:1024
    • 提供者:Chappa
  1. gate_test

    0下载:
  2. 使用vivado hls 对GATE代码进行封装,主要调试stream接口(using vivado hls to archieve GATE syn, to debug the AXI4-stream interface)
  3. 所属分类:并行计算

    • 发布日期:2017-12-20
    • 文件大小:2184192
    • 提供者:beny270
  1. LAB2

    0下载:
  2. zynq上实现流水灯的软硬件协同设计,利用vivado 2015.2版本eda软件开发。(Zynq realizes the design of hardware and software of water lamp, and uses vivado version 2015.2 EDA software to develop it.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-14
    • 文件大小:198802
    • 提供者:Dangbingjoe
  1. PUB

    0下载:
  2. 使用xlilnx的vivado为基础环境开发的示波器,采用串口屏显示图像(Using xlilnx vivado based environment development oscilloscope, using serial display images)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-28
    • 文件大小:69641216
    • 提供者:落落花开
  1. ps_bram

    0下载:
  2. 通过ZYNQ的PS部分读写片上BRAM存储器(Read and write on-chip BRAM memory via the PS portion of the ZYNQ)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:22143929
    • 提供者:abc5300322
  1. mem_wr

    0下载:
  2. 通过ZYNQ的PS部分读写DDR3存储器(Read and write the DDR3 memory via the PS portion of the ZYNQ)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-13
    • 文件大小:22281148
    • 提供者:abc5300322
  1. DigitalFrequencyMeter

    0下载:
  2. 使用Basys3开发板,采用等精度测频方法实现信号的测频并通过LCD1602显示。(The use of Basys3 development board, the use of equal precision frequency measurement method to achieve signal frequency measurement, and through the LCD1602 display.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1327104
    • 提供者:yaris
  1. sim_Xilinx综合与仿真设计指导

    0下载:
  2. Xilinx自己出的仿真设计指导,使用vivado工具必备参考资料。(The Synthesis and Simulation Design Guide provides a general overview of designing Field Programmable Gate Array devices using a Hardware Descr iption language. It includes design hints for the novice HDL user, as w
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:1702912
    • 提供者:PandaWarrior
  1. src

    0下载:
  2. 用于国密4的加解密算法实现,采用verilog 语言,可进行vivado仿真,vivado版本是2013,结果经测试正常,适合从事相关行业的工作人员进行借鉴和开发。(The code is realized and simulated by verilog. The simulation result has been confirmed by the author. It is recommended to download by the researchers who are in the
  3. 所属分类:加密解密

    • 发布日期:2017-12-14
    • 文件大小:24195
    • 提供者:yisuoyanyuwhr
  1. ug897-vivado-sysgen-user

    0下载:
  2. FPGA和matlab关联用到的文档,很有帮助,大家可以学习一下(FPGA and matlab associated with the document, very helpful, we can learn about it)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-27
    • 文件大小:5902336
    • 提供者:无懈可击
  1. hf_mot

    0下载:
  2. 电机驱动及编码器同步采样,内部兼具多重滤波采样处理算法。(Motor drive and encoder synchronous sampling, the internal multi filter sampling and processing algorithm.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:11441152
    • 提供者:he
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 15 »
搜珍网 www.dssz.com