CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 伪随机序列

搜索资源列表

  1. 伪随机序列

    0下载:
  2. 线形反馈移位寄存器(LFSR)是数字系统中一个重要的结构,本程序可以自动产生AHDL,VHDL,Verilog的源代码及电路原理图。程序可以运行在win98/2000/NT平台-linear feedback shift register (LFSR) digital system is an important structure, the process can be automatically generated AHDL, VHDL, Verilog source code and ci
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:163107
    • 提供者:夏沫
  1. 多用户检测全套代码

    2下载:
  2. 多用户检测算法全套代码,包括伪随机序列产生、直接序列扩频的编码与解码、自适应滤波器、噪声抑制等等。
  3. 所属分类:数值算法/人工智能

  1. 伪随机序列生成

    0下载:
  2. 所属分类:通讯/手机编程

  1. 实用verilog代码(乘法器,触发器,FIFO等)

    3下载:
  2. 本文件包含一些实用verilog程序代码,包括乘法器,除法器,伽罗瓦域乘法器,CORDIC数字计算机的设计,异步FIFO设计,伪随机序列应用设计,RS(204,188)译码器的设计,都是可综合的。对研究这部分的朋友有一定的帮助。
  3. 所属分类:源码下载

    • 发布日期:2010-12-19
    • 文件大小:28867
    • 提供者:zhanxin0319
  1. Verilog_code_for_AWGN.rar

    1下载:
  2. verilog实现awgn信道噪声的代码,支持可变的信噪比。利用移位寄存器来实现伪随机序列。,verilog code for implementation of awgn channel noise. support variable snr. use LSFR to implement the pseudo random sequence.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:10555272
    • 提供者:xiejin
  1. xuliefenxi.rar

    0下载:
  2. 伪随机序列相关性、功率谱、列数以及频数等的分析,Analysis of pseudo-random sequence
  3. 所属分类:matlab

    • 发布日期:2017-01-10
    • 文件大小:1303
    • 提供者:mumu
  1. daimahekuangtu

    3下载:
  2. ,用MATLAB实现快跳频通信系统的仿真。主要应用了SIMULINK和COMMUNICATION BLOCKETS两个模块。整个设计包括了信源产生部分、发送部分、跳频调制部分、信道部分、接收部分和结果分析部分共六个模块,核心技术是伪随机序列的产生和频率合成器的设计,而关键技术是收发两端的伪随机码元的同步。伪随机码的产生用S-函数编程来开发自己的SIMULINK模块。同步的实现是收发两端采用相同的扩频脉冲触发。而且在设计中每个模块都采用了模块封装技术,从而简化了框图结构-Using MATLAB
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:68151
    • 提供者:笑笑生
  1. lfsr

    0下载:
  2. 此实验介绍了伪随机序列的产生原理,并用verilog语言将其编码实现,有详细的代码备注-This experiment introduces the principle of pseudo-random sequence and its encoded with the verilog language implementation, a detailed code Notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:76837
    • 提供者:飞扬奇迹
  1. gen_displayer

    0下载:
  2. 基于线性反馈移位寄存器电路,并结合FPGA 的特有结构,一种简捷而又高效的伪随机序列产生方法-The Implementation and Research on Pseudo-Random Number Generators with FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2150
    • 提供者:王晓飞
  1. CC1100FHSS071102

    0下载:
  2. cc1100 902M-928M 跳频 含伪随机序列产生,FCC PART15-cc1100 902M-928M hopping with pseudo-random sequence generated, FCC PART15
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:90200
    • 提供者:tomyao
  1. localRand

    0下载:
  2. 常用随机数发生器,C实现 /* * 文件包含了6个函数,它们能产生符合相应分布的规律的随机数: * GenUniformRnd : 产生一个随机数,符合均匀分布。(伪随机序列) * GenBernoulliRnd : 产生一个随机数,符合伯努利分布。 * GenBinomialRnd : 产生一个随机数,符合二项分布。 * GenPoissonRnd : 产生一个随机数,符合泊松分布。 * GenExponentRnd : 产生一个随机数,符合指数分布。
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1139
    • 提供者:lo
  1. lfsr

    0下载:
  2. 伪随机序列产生器-线性反馈移位寄存器,Verilog HDL 原代码。-Pseudo-random sequence generator- linear feedback shift register, Verilog HDL source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-22
    • 文件大小:883
    • 提供者:李辛
  1. PRBS

    1下载:
  2. 伪随机序列PRBS(M序列)的产生文件,matlab 语言开发,适合于系统辨识的激励信号-Pseudo-random sequence PRBS (M series) and generate the file, matlab language development, incentives for system identification signal
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:11405
    • 提供者:kpg
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2054
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1392
    • 提供者:李辛
  1. Rand

    0下载:
  2. 本程序能产生大部份实用随机数:产生一个[0,1]区间内均匀分布伪随机数、产生多个[0,1]区间内均匀分布伪随机数、产生任意[a,b]区间内一个均匀分布伪随机整数、产生任意[a,b]区间内均匀分布伪随机整数序列、产生一个任意均值与方差的正态分布随机数、产生任意均值与方差的正态分布随机数序列-look it yourself
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-26
    • 文件大小:14441
    • 提供者:雾水葛
  1. Serial

    0下载:
  2. 一个二位式伪随机序列发生演示程序,用于产生工业上的二位式伪随机数,程序界面友好,可以作为相关方面的参考-A 2-type pseudo-random sequence occurred demo program, used to produce industrial-type 2 pseudo-random number, the program user-friendly, can be used as the relevant reference
  3. 所属分类:Algorithm

    • 发布日期:2017-04-03
    • 文件大小:47696
    • 提供者:coollineme
  1. Application_of_pseudo_random_sequence_verilog_desi

    0下载:
  2. 伪随机序列应用verilog设计.rar-Application of pseudo-random sequence verilog design.rar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1044
    • 提供者:海天之洲
  1. fake_randoner

    0下载:
  2. 伪随机数发生器: “利用随机序列进行间隔控制,选择消息隐藏位”的描述算法、Matlab程序(可参考所给的程序)、原理与步骤-some thing about watermark
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:6768
    • 提供者:tonado
« 1 2 3 4 56 7 8 9 10 ... 14 »
搜珍网 www.dssz.com