CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 全加器

搜索资源列表

  1. verilog5

    0下载:
  2. verilog语言中 testbencch编写-仿真工具综合工具使用-全加器实例讲解
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:189257
    • 提供者:隋学伟
  1. full_adder3

    0下载:
  2. 三位全加器的源代码,和测试代码,用Verilog HDL实现的!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36209
    • 提供者:陈吉成
  1. FullAdder_4

    0下载:
  2. 这是一个4位全加器,用一个1位半价做的一位全加,然后做成的四位半加。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:97889
    • 提供者:catalina
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. f_adder

    0下载:
  2. 用VHDL语言采用串行方法实现用1位全加器实现4位全加器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:196067
    • 提供者:chenli
  1. 1002016p_Sa

    0下载:
  2. 设计一个两位全加器,并用发光二极管显示结果。全加器的三个输入(二个数字输入,一个进位输入)用实验箱中W1,SW2,SW3控制,二个输出用发光管LED1,LED2显示。整个设计采用层次设计方法,顶层文件采用原理图输入法。整个电路设计思路分三部分: 1半加器电路设计; 2.全加器电路设计,是在半加器的基础上设计的; 3.数据输入,输出电路设计。
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:35124
    • 提供者:chenli
  1. fadder4

    0下载:
  2. VHDL实现四位全加器,适合初学者,源程序下载
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:113203
    • 提供者:黄利
  1. VHDL_add_4

    0下载:
  2. 本程序完成带进位输入输出的四位二进制加法运算,编程思想采用真值表转换成布尔方程式,利用循环语句将一位全加器编为四位加法器。
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:94759
    • 提供者:韩善华
  1. f_adder

    0下载:
  2. 在EDA的MAX+PLUS II开发环境下用VHDL编写的全加器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:56259
    • 提供者:林超勇
  1. Project_Navigator_Demo

    0下载:
  2. 双向控制全加器的VHDL实现 内含ISE工程文件
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:110119
    • 提供者:301z
  1. multiplier

    0下载:
  2. 8*8乘法器及其测试:采用booth编码的乘法器:1. ultipler_quick_add_4 即4位的并行全加器,在这里主要起了两个作用:第一个是在求部分积单元时,当编码为3x时用来输出部分积;另外一个是在将部分积加起来时,求3到6位时所用到。 2. ultiplier_quick_add_5 即5位的并行全加器,这里用来分别计算积的7到11位和12到16位。 3. ultiplier_unit_4 这个模块是用来实现部分积的,每一个模块实现一个部分积的4位,因此一个部分
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9989
    • 提供者:chenyi
  1. Example-3-1

    0下载:
  2. 该程序是用quartus II作为开发工具,用verilog语言编写,实现全加器功能的实例。对初学者很有意义
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:887
    • 提供者:xyq
  1. Full_Adder

    0下载:
  2. 用VERILOG语言实现了全加器,可综合可仿真通过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:71621
    • 提供者:zhuangqi
  1. chap8

    0下载:
  2. 常用经典典型电路,如全加器,乘法器,如何减小资源
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4405
    • 提供者:王鹏
  1. VHDLquanjiaqi

    0下载:
  2. 这是一个利用MAX PULL 制作的VHDL的全加器的程序 如果有需要仿真图的 请叫站长联系我
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1384
    • 提供者:郭明磊
  1. VHDLsiweiquanjiaqqi

    0下载:
  2. 这是一个利用MAX PULL 制作的VHDL的四位全加器的程序 如果有需要仿真图的 请叫站长联系我
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1482
    • 提供者:郭明磊
  1. VHDL

    0下载:
  2. 数字系统设计中的全加器、10进制计数器、2-4译码器、摩尔状态机、2-1路选择器的源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:901776
    • 提供者:李帆
  1. VHDL大作业-虞益挺036100486

    0下载:
  2. 全加器的VHDL程序实现及仿真-full adder VHDL simulation program and
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:88116
    • 提供者:熊辉波
  1. voterandcounter

    0下载:
  2. 用VHDL写的源代码程序,包涵三人表决器,七人表决器,全加器以及模24,模60的计数器,都是单文件的,由于程序小又多,所以集中在一起,供新学习VHDL语言的朋友们参考。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2174
    • 提供者:韩笑
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
« 1 2 3 45 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com