CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 加法器

搜索资源列表

  1. multiply2.rar

    1下载:
  2. 18bit的booth乘法器 采用booth2编码 Wallace压缩树 以及超前进位结合进位选择的36bit高性能加法器,18bit multipliers used booth2 the booth encoding and Wallace tree compression-ahead into the location choice of high-performance 36bit adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5415
    • 提供者:alex
  1. Some_design_of_interface(IIC_P

    0下载:
  2. 一些接口电路的Verilog设计,主要包括IIC、PS2、矩阵键盘、RS232、还有一些基础试验的源代码如:除法器、多路选择器、加法器、减法器、8位优先编码器等。,Some design of interface(IIC,PS2,RS232...)
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2993187
    • 提供者:李皓
  1. RSC.rar

    0下载:
  2. Turbo码编码器的两个分量编码器RSC,主要由四个移位寄存器和两个模2加法器组成,Turbo code encoder of the two component encoders RSC, mainly by the four shift register and the two-mode adder composed of 2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1025
    • 提供者:sunhao
  1. adder8b.rar

    0下载:
  2. 用VHDL设计一个八位并行加法器,该八位并行加法器是有两个四位二进制并行加法器通过级联而成,先设计两个四位二进制并行加法器分别表示八位数中的低四位和高四位以及其加法(含进位),再将两个四位并行加法器级联成一个八位并行加法器。这种方法原理简单,资源利用率和进位速度方面都比较好。,VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:189838
    • 提供者:赵祥
  1. multi8x8

    0下载:
  2. 该源码为8位乘法器的VHDL语言描述,由一个8位右移寄存器,2个4位加法器例化成8位加法器,一个16位数据锁存器构成。采用移位相加的方式,从被乘数的低位开始,与乘数的每个位移位相加求和。最后实现其乘法器功能。-The source code for the 8-bit multiplier in VHDL language to describe, from an 8-bit right shift register, two 4-bit adder example into 8-bit add
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:393061
    • 提供者:feng
  1. waterline_adder.rar

    0下载:
  2. 这是一个用Verilog编写的四级流水线加法器,This is a Verilog prepared with four pipeline adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:553
    • 提供者:伊莲幽梦
  1. as.rar

    0下载:
  2. 自己编写的的,基于verilog的加减法器!!!比较简单!!,Their written, based on instruments used in verilog addition and subtraction! ! ! Is relatively simple! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:519266
    • 提供者:林海
  1. Chapter10

    1下载:
  2. 第十章的代码。 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例模块相
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-15
    • 文件大小:6871574
    • 提供者:xiao
  1. add4

    0下载:
  2. 一个用vhdl代码设计的简单的加法器程序-it is a code designed by vhdl ,and it is used for adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:24539
    • 提供者:jim
  1. add4

    0下载:
  2. 一个四位加法器的VHDL语言实现,并通过编译测试-A four-adder realization of the VHDL language, and compile test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:44119
    • 提供者:Robert Shen
  1. adder

    0下载:
  2. 一个最简单的加法器,带testbench-One of the most simple adder with testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:159307
    • 提供者:王八
  1. jisuanq

    0下载:
  2. 一个简单的加法器,输入数据,选择符号,支持小数,用如鹏控件编写-A simple adder, the input data, select a symbol in support of decimals, such as Peng controls prepared by
  3. 所属分类:Windows Develop

    • 发布日期:2016-01-25
    • 文件大小:949248
    • 提供者:gongsheng
  1. KoggeStone

    0下载:
  2. KoggeStone加法器的基本设计方法,最早的文献-KoggeStone basic design adder, the earliest literature
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-06
    • 文件大小:702469
    • 提供者:钱慧
  1. 32-float-point-adder

    0下载:
  2. 32位浮点加法器。我第一次上载源码你就放过我吧,我就是想看一看加法器应该怎么做。-Floating point adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1175
    • 提供者:周奕彤
  1. alu_arm_alu_mips

    0下载:
  2. 加法器的arm实现和mips实现,alu_arm,alu_mips,南大计算机系计算机组成原理实验-Adder arm to achieve and realize mips, of alu_arm alu_mips, Nanda, Department of Computer Science Computer principle experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1171220
    • 提供者:sunying
  1. floatadd

    0下载:
  2. 浮点数加法器的源代码,实现浮点数的加法功能,浮点数遵循的是IEEE745标准-floating_piont addition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:11068
    • 提供者:张妮娜
  1. add

    0下载:
  2. 加法器,并行16位加法器。用verilog语言编写。可使用模板。-Add
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:405695
    • 提供者:Po
  1. adder

    0下载:
  2. 运用VHDL语言实现四位超前进位加法器。-VHDL language using the four CLA.
  3. 所属分类:MPI

    • 发布日期:2017-03-27
    • 文件大小:4327
    • 提供者:吴伟
  1. 123654vhaing

    0下载:
  2. 八音自动播放电子琴设计 vhdl源码,文件内有具体注释 [VHDL-XILINX-EXAMPLE26.rar] - [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9- -Octave electronic keyboard play aut
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:231894
    • 提供者:杨领超
  1. summator

    0下载:
  2. 用MFC对话框实现简单的加法器,输入整数,点击控件,显示计算结果-MFC dialog box with a simple adder, the input integer, click the control, display the results
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-08
    • 文件大小:1907567
    • 提供者:xenia
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 47 »
搜珍网 www.dssz.com