CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 帧同步

搜索资源列表

  1. 长帧同步时钟的verilog设计

    0下载:
  2. 长帧同步时钟的verilog设计,供初学者使用和参考。-Long frame synchronization clock verilog design for beginners to use and reference.
  3. 所属分类:外挂编程

    • 发布日期:2017-03-29
    • 文件大小:195413
    • 提供者:靖文祥
  1. frame_synchronization.rar

    1下载:
  2. 检测巴克码实现帧同步传输,vhdl语言,帧头,Detection of Barker code transmission to achieve frame synchronization
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-22
    • 文件大小:442722
    • 提供者:chenke
  1. syn_frame

    3下载:
  2. 基于verilog的帧同步搜索,fpga中可以实现帧头搜索,进而实现同步,并有一定的容错能力-verilog-based frame synchronization searching
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:838
    • 提供者:dereklee
  1. SDHAnalysis

    2下载:
  2. 光纤通信中的SDH数据帧解析及提取的VHDL实现源代码,共包含帧同步、E1及F1码流提取、DCC1码流提取、帧头开销串行输出四个主要模块-SDH fiber-optic communication data frame analysis and retrieval implementation of VHDL source code, include the frame synchronization, E1 and F1 stream extraction, DCC1 stream extra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:31485
    • 提供者:张晓彬
  1. SDH_module

    1下载:
  2. SDH帧同步头的检测,并提取其中的语音信息的模块设计-SDH frame sync detection, and extract audio information module design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:353300
    • 提供者:雷伟林
  1. syndetect

    0下载:
  2. 帧同步检测,verilog代码 是同步保护的经典范例-frame detection, verilog code
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2014-01-05
    • 文件大小:1134
    • 提供者:leng
  1. costas_loop

    2下载:
  2. 集中式插入式帧同步发的verilog源代码-concentrative inserted frame sync
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-26
    • 文件大小:5081
    • 提供者:白健
  1. pcm

    0下载:
  2. 1).输入码流DATA,速率为2.04Mb/S;每帧256bit,其中前8bit为帧同步码;偶数帧的帧同步码为10011011,奇数帧的帧同步码为110XXXXX(X为任意值)。 2).系统初始状态为失步态,失步信号FLOSS输出低电平,电路在输入码流里逐比特搜寻同步码,当搜寻到第一个偶帧同步码后,电路转为逐帧搜寻,当连续三帧均正确地搜寻到同步码后,系统状态转为同步态,失步信号输出高电平;否则电路重新进入逐比特搜寻状态。 3).系统处于同步态后,当连续四帧检出的同步码均错误,则系统转为
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:1635
    • 提供者:alan
  1. baker_frame_synch

    1下载:
  2. 一个完整的巴克吗帧同步检测程序。并且包含同步保护,同步判断检测等功能,可直接运用。程序包含注释和仿真说明,验证通过具有不错的效果。-Buck do a complete frame synchronization detection program. And includes synchronization protection, synchronization to determine detection and other functions, can be directly used. S
  3. 所属分类:其他小程序

    • 发布日期:2014-03-16
    • 文件大小:1595271
    • 提供者:Kerwin
  1. frame_syn

    1下载:
  2. 这是一个帧同步数据搜索模块,用于检测输入的数据流中的帧头,当检测到帧头后输出一个同步信号。 输入数据为 8bit的并行数据流,数据流中的每帧由 10 个字节组成,为 1个字 节的帧头(47H)加上 9 个字节的数据。各个字节的中间部分与时钟上升沿对齐。 每帧数据中,除帧头外的其他数据也可能为 47H。 在数据传输过程中,帧头数据有可能受到干扰而变为其他数值,因此要求输出同步信号时具有一定的容错功能。-This is a frame synchronization
  3. 所属分类:matlab例程

    • 发布日期:2014-03-16
    • 文件大小:409234
    • 提供者:追月
  1. mpedecode

    0下载:
  2. 实现MP3解码功能,包括MP3解码的各个过程:帧同步、头信息解码、帧边信息解码、霍夫曼解码、立体声合成等等步骤的代码。-huffman decode
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-03
    • 文件大小:33697
    • 提供者:赵国新
  1. Frame_Detection

    2下载:
  2. ofdm系统中的完整帧同步模块,基于verilog实现。-ofdm system full frame synchronization module, based on verilog implementation.
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-08-16
    • 文件大小:571392
    • 提供者:罗云
  1. framesynchronizationexperiment

    0下载:
  2. 通信系统实验与分析十,帧同步提取实验-Experiment and Analysis of Communication System 10, frame synchronization experiment
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:50090
    • 提供者:Iron Mask
  1. c10

    3下载:
  2. 系统同步的matlab程序,载波同步,符号同步,帧同步-Synchronization of the matlab program, carrier synchronization, symbol synchronization, frame synchronization
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:19700
    • 提供者:郑书鑫
  1. E1

    0下载:
  2. 分析帧同步算法,提供帧同步的状态机实现图以及得到的正确仿真图形。-Analysis of frame synchronization algorithm, to provide frame synchronization state machine implementation plans and get the correct simulation graphics.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:96558
    • 提供者:李逊
  1. dsss

    0下载:
  2. dsss有关的位同步帧同步数字锁相法实现位同步-dsss the bit synchronization method to achieve frame synchronization bit synchronous digital lock
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:1784099
    • 提供者:liufei
  1. tongbu

    0下载:
  2. 1、搜索出数据流中的帧同步字信号,并给出帧同步标志。 2、系统工作开始后,要连续3次确认帧同步字进入锁定状态后才输出帧同步标志。 3、在锁定状态时,如连续出现3次错误的帧同步字,则帧同步标志输出无效,系统重新进入搜索状态;否则继续输出有效的帧同步标志。 -1, the search for the data stream signal in the frame synchronization word and frame synchronization flag is given.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5551
    • 提供者:your name
  1. frame detect

    1下载:
  2. 帧同步搜索电路,检查帧同步所在,VHDL程序-frame detect
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-22
    • 文件大小:31600
    • 提供者:
  1. Frame-synchronization

    0下载:
  2. FPGA 帧同步源代码 调试无错误 ALTERA 平台-Frame synchronization FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:256959
    • 提供者:liuchao
  1. syn_search

    0下载:
  2. 设计一检测电路,搜索帧同步码。要求在搜捕态能够正确地从数据流中提取帧同步码,在达到一定设计要求时进入稳定同步态。同时,要求帧同步检测电路具有一定的抗干扰能力,在稳定同步态发现帧失步次数超过设计要求时,系统要进入搜捕状态。-Design a detection circuit, the search frame synchronization code. Required to search state can correctly be extracted from the data stream
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:990
    • 提供者:huluobo
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com