CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 时钟 闹钟

搜索资源列表

  1. 1602C

    0下载:
  2. 日历时钟 按 进入设置状态 按 停止闹钟声音 按 依次进入闹钟功能是否启用,闹钟时 分秒 年月 日及时间时 分 秒的设置 直到退出设置状态 按 调整是否起用闹钟和调节闹钟时 分 秒年 月 日 时间的时分 秒的数字 第 (可在调整状态进行设置) 正常状态 上排最前面显示自定义字符 下排最前面闪动 设置状态 上排最前面显示 下排最前面在设置闹钟时间时显示 其它状态显示 年代变化 星期自动转换 程序中有自定义字符写入-Calendar clock status by entering the sett
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:169571
    • 提供者:沈钊
  1. DigitalClock

    0下载:
  2. pretues+keil Uv3仿真模拟的LCD数字闹钟,可以进行具体到小时,分钟,秒的时钟设置,以及一具体到小时,分钟,秒的闹铃的设置,和闹铃的种植-pretues+ keil Uv3 simulation of LCD digital alarm clock, can be specific to the hours, minutes, seconds of the clock settings, as well as to a specific hour, minute, seconds,
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:53504
    • 提供者:黄宇
  1. clock

    0下载:
  2. 用Freescale半导体公司HC08系列单片机制作了电子时钟,具有整点报时、闹钟、时间日期设置等功能(c语言编写)-HC08 with Freescale Semiconductor, Inc. has produced a series of single-chip electronic clock, with the whole point timekeeping, alarm clock, time and date settings and other functions (c lan
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:82019
    • 提供者:aben
  1. clock_mian

    0下载:
  2. 89S51单片机时钟源码,功能:时间日期年月显示调整,闹钟,秒表。经仿真测试。-89S51 Single-chip clock source, function: Time date display adjustment date, alarm clock, stopwatch. Tested by simulation.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:2667
    • 提供者:冯健
  1. E-clock

    0下载:
  2. 该时钟函数包括了AT89C52、8位数码管、具有调时、闹钟等功能。所有功能的实现均使用定时器,未用时钟芯片。-The clock function, including the AT89C52, 8 bit digital tube, with a tune, the alarm clock functions. The realization of all the features are the use of timers, not by the clock chip.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:136793
    • 提供者:herodsm
  1. shizhong

    0下载:
  2. 用51单片机控制的时钟程序,调时、闹钟、定时-CLOK
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:73613
    • 提供者:王博
  1. digitalclock

    0下载:
  2. 1、正常情况下在LED数码管上显示时钟和温度。显示格式为:hh.mm tto,其中hh为2位小时数,mm为2位分钟数,中间的.每隔1秒交替亮暗,tt为2位温度,温度范围为-50oC-+50oC,“o”为温度单位摄氏度。 2、假设选用的温度传感器已将温度-50oC-+50oC变换为0-5V电压信号,请完成温度值的采集并进行标量转换,再显示在LED数码管上。 3、应采取数字滤波技术提高温度测量稳定性(如均值滤波)。 4、若闹钟设置的时间到,则闹铃(可以驱动指示灯亮代替)。 5、通过开
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:239697
    • 提供者:许宏
  1. clock

    0下载:
  2. 本文档采用VHDL语言编写了一个数字时钟的程序,该数字时钟采用24小时制计时,可以实现整点报时,时间设置,闹钟等功能。最小分辨率为1秒。-VHDL language in this document using a digital clock to prepare the procedure, the digital clock 24-hour time system, you can bring the whole point of time, time settings, alarm clo
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:681184
    • 提供者:cindy
  1. clock

    0下载:
  2. 电子时钟,能够进行计时,可设定闹钟,可以当做跑表,并且可以更改时间-electric clock
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:1157
    • 提供者:anniepotter
  1. shizhongrili

    0下载:
  2. 时钟日历,单文档界面,我的VC++大作业,有闹钟功能哟-Calendar clock, single-document interface, my VC++ Great work, yo have the alarm clock function
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:691304
    • 提供者:张歆业
  1. clock

    0下载:
  2. 基于51单片机的C语言时钟程序,有闹钟,蜂鸣-Based on 51 single-chip clock of the C language program, there are alarm clock, beep
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:32205
    • 提供者:阿逸
  1. clok

    0下载:
  2. 单片机时钟程序,可以设置的哦,还有闹钟 单片机时钟程序,可以设置的哦,还有闹钟-MCS51 C clok MCS51 C clok MCS51 C clok MCS51 C clok
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:29792
    • 提供者:珞屹
  1. clock

    0下载:
  2. 单片机时钟,可以定时,闹钟功能,上电自动读取ds1302时钟,具有掉电保持功能。时钟校准功能。proteus仿真事例。-Single-chip clock, can from time to time, the alarm function, automatic power-ds1302 clock reading with a power-down function to maintain. Clock calibration function. proteus simulation exam
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:61215
    • 提供者:msc
  1. clock_51

    0下载:
  2. 最近在弄12864液晶显示,弄得比较熟了,然后用单片机写了个时钟程序,可以设定时间、闹钟等。-LCD 12864 recent get confused that Bijiao Shu, and then wrote a microcontroller clock program, you can set the time, alarm clock and so on.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:85759
    • 提供者:周鹏
  1. clock

    1下载:
  2. 这是一个数字时钟的数字逻辑电路,整个工程打包上传,时钟可以计时、校时、整点报时、定时闹钟。使用电路图实现的。在quatarsII里面仿真的并且下载到DE2板上运行过。-This is a digital clock digital logic circuits, the whole project package upload, the clock could be time, school hours, the whole point timekeeping, timing alarm clo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-17
    • 文件大小:1041615
    • 提供者:ryan
  1. NONAME1

    0下载:
  2. 51单片机实现数字时钟带闹钟 使用6个弹跳按钳和4个共阴七段显示器组合而成-51 MCU digital clock with alarm
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:1176
    • 提供者:不求有功
  1. countall

    0下载:
  2. 时钟总片,有年月日分钟秒钟,秒表,三个闹钟,调时。总共需要三个控制键-clack system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1088
    • 提供者:邹翼
  1. DS12C887

    0下载:
  2. 基于DS12C887的多功能电子钟,以STC公司的STC89C5xRC系列单片机作为主控芯片。采用了实时时钟芯片DS12C887,走时精确,具有闹钟等多种功能。采用128×64 LCD作为显示输出,可以同时显示时间、日期、室温、节日等内容,可视化的图形菜单便于操作。同时采用了ISD4002语音芯片,实现了语音报时功能。程序在keil下编译,此处为全部代码-DS12C887-based multi-functional electronic clock to STC' s STC89C5xR
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:101054
    • 提供者:格芯电子
  1. shizhongchengxu

    0下载:
  2. 用51单片机实现时钟和闹钟的功能!给出了汇编和C程序-yong 51 dan pian ji shixian shizhong he naozhong de gongneng ,gei chu huibian he C chengxu
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:172093
    • 提供者:liang jin zhao
  1. 8led

    0下载:
  2. 这是我经过修改仿真的8位数码管显示带闹钟的时钟程序,并且附带有仿真图。-This is my simulation of a modified 8-bit digital tube display with alarm clock program, and with a simulation of Fig.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:80963
    • 提供者:谢运军
« 1 2 3 45 6 7 8 9 10 ... 38 »
搜珍网 www.dssz.com