CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 正弦信号 频率

搜索资源列表

  1. FIR123

    0下载:
  2. 1.利用Matlab进行产生频率为1000Hz和6000Hz的正弦信号,利用FDATOOL设计FIR滤波器(fs=16000Hz),以滤波6000Hz分量,并利用SPTOOL工具对信号滤波进行仿真与验证。 2.从MIC端口(J5)输入频率为1000Hz和6000Hz正弦信号的叠加信号,编写实时FIR滤波程序,选择合适的滤波器参数,滤除6000Hz的频率分量,利用示波器在SPEAKER端口(J6)观察输出波形。分析信号的频谱结构,设计满足要求的数字滤波器,-1. The use of Matl
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:196691
    • 提供者:
  1. yixiang

    0下载:
  2. 数字式移相信号发生器可以产生预置频率的正弦信号,也可产生预置相位差的两路同频正弦信号,并能显示预置频率或相位差值;-digital phase shifting generator can produce preset frequency sinusoidal signal, Preferences may also have phase difference with the way the two-frequency sinusoidal signal, and can show that
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7196
    • 提供者:黄瑞炎
  1. SPCE061.doc

    0下载:
  2. 单片机正弦信号产生中改变正弦消耗频率时仍然保持采样频率的算法-SCM sinusoidal signal to change consumption frequency sinusoidal remained sampling frequency algorithm
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5538
    • 提供者:王杜
  1. 7_15_9_30_asias_dds

    0下载:
  2. 用51单片机控制AD9851产生正弦信号的源程序,可以实现频率的步进调节哈-control AD9851 produce sinusoidal signal source, the frequency can be achieved step-conditioning Kazakhstan
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:24421
    • 提供者:国君
  1. SIN_fashengqi

    0下载:
  2. 2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA公司的 Cyclone 系列 FPGA 为数字平台,将微处理器、总线、数字频率合成器、存储器和 I/O 接口等硬件设备集中在一片 FPGA 上,利用直接数字频率合成技术、数字调制技术实现所要求波形的产生,用 FPGA 中的 ROM 储存 DDS 所需的波形表,充分利用片上资源,提高了系统的精确度、稳定性和抗干扰性能。使用新的数字信号处理(
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:407706
    • 提供者:刘斐
  1. pm_estimate

    0下载:
  2. 利用周期图法对序列的功率谱进行估计。数据窗采用汉明窗。假设在白噪声中分辨这三个不同频率的正弦信号,其相位是均匀分布在2PI的独立随机变量,而 是一个单位白噪声.生成50个长度为N=512的 的样本序列集合。 -use map cycle sequencing method of the power spectrum estimation. Data window using Hamming window. Assume that the white noise to differentiat
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:896
    • 提供者:lee
  1. zhengxianboshujuwenjian

    0下载:
  2. 用C++ 生成的正弦波数据文件,N是fft的点数,f是正弦波信号频率,fs是采样频率,结果会生成in.dat文件 -C generated sine wave data files, fft N is the number of dots, f is the frequency sine wave signal. fs is the sampling frequency, the result will document generation in.dat
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:79257
    • 提供者:lili
  1. PowerVolt

    0下载:
  2. 用C51单片机测试模块,应用ADS1110转换芯片,扩展两片8255,32路AD通道,16k路DO,16路DI,正弦信号发生,RS232接口,(0~100MHz频率测试等功能),非常实用!-test with C51 microcontroller module, application ADS1110 conversion chip, the two 8255 expansion. AD 32 Road Corridor, 16k road DO, DI Road 16, sinusoidal
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8560
    • 提供者:万平
  1. PC1490

    0下载:
  2. 用C51单片机做的防盗报警器测试程序,主要实现AC/DC电压测试,频率测试,电流测试,正弦信号发生,数字IN/OUT等功能!-C51 microcontroller do with the anti-theft alarm testing procedures, main AC / DC voltage testing frequency of testing, current tests, sinusoidal signal, digital IN / OUT function!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9469
    • 提供者:万平
  1. 9851_serial

    0下载:
  2. 利用凌阳单片机SPCE061A控制AD9851,以产生频率相位可控的正弦信号-use Sunplus SPCE061A control AD9851, to produce controllable phase frequency sinusoidal signal
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5361
    • 提供者:田世坤
  1. pack11_emd

    0下载:
  2. HHT是历史上首次对Fourier变换的基本信号和频率定义作的创造性的改进。他们不再认为组成信号的基本信号是正弦信号,而是一种称为固有模态函数的信号-HHT is the first time in the history of the Fourier transform basic definition signals and frequencies for creative change Progressive. They will no longer signal that the bas
  3. 所属分类:语音合成与识别

    • 发布日期:2008-10-13
    • 文件大小:100836
    • 提供者:杯中赏月
  1. FPGA--DDS-PhaseMeasure

    1下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1371610
    • 提供者:haoren
  1. Two

    0下载:
  2. 离散信号处理 1、信号的生成方法 2、频域信号的分析 3、求正弦信号的频率 4、求正弦信号的加入时间 5、滤波器的设计
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:2392
    • 提供者:tengfang
  1. eda

    1下载:
  2. fpga的应用,一个正弦信号发生器,可以调节频率大小,和PSK,QSK,FM,AM等调制
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7000368
    • 提供者:lijie
  1. thefrequencymeterdesign

    0下载:
  2. 简易数字频率计题解.( 1997年 B 题 ) 编写与讲解人:田良(东南大学无线电系,2003年3月12日) 一)任务 设计并制作一台数字显示的简易频率计。 (二)要求 1.基本要求 (1)频率测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1% (2)周期测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:356317
    • 提供者:caorui
  1. chengxu3

    3下载:
  2. 用Matlab产生正弦波,矩形波,以及白噪声信号,并显示各自时域波形图 2.进行FFT变换,显示各自频谱图,其中采样率,频率、数据长度自选 3.做出上述三种信号的均方根图谱,功率图谱,以及对数均方根图谱 4.用IFFT傅立叶反变换恢复信号,并显示恢复的正弦信号时域波形图
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1248
    • 提供者:river
  1. tx

    0下载:
  2. 产生一个幅度为1和频率为1的正弦信号,用均匀pcm方法分别用8电平和16电平进行量化,在同一坐标轴上画出原信号和以量化信号,比较这两种情况下的sqnr.
  3. 所属分类:多媒体

    • 发布日期:2008-10-13
    • 文件大小:9870
    • 提供者:秦承峰
  1. dds

    0下载:
  2. DDS正弦信号发生器 频率和相位连续可调。频率最大2M
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3806
    • 提供者:dsf
  1. DDS

    0下载:
  2. 利用EDA技术和FPGA在UP3开发板上实现直接数字频率综合器的设计。 实验中加入了相位控制字PWORD,用以控制相位偏移量的前四位,将相位偏移量加到ROM地址总线 上,从而引起从ROM中取得的正弦信号的偏移,实现移相信号发生器的移相功能。 实验中还加入了LCD显示功能,通过LCD显示模块器件,用LCD显示正弦信号的频率,所显示的频 率也是由频率字控制的。LCD的驱动原理同上次实验。
  3. 所属分类:波变换

    • 发布日期:2008-10-13
    • 文件大小:1225901
    • 提供者:Emma
  1. sin_ok

    0下载:
  2. TMS320V5402 利用定时器中断产生正弦信号,最高频率300KHZ
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:50602
    • 提供者:junxingz
« 1 2 3 45 6 7 8 9 10 ... 24 »
搜珍网 www.dssz.com