CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 计时器 VHDL

搜索资源列表

  1. Exp4-Clock

    0下载:
  2. 数字计时器,使用VHDL语言编写,使用数码管显示,精确到ms-digital timer, the use of VHDL development, the use of digital control, the precision of the ms
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:808948
    • 提供者:萧飒
  1. 112312312312312

    0下载:
  2. 计时器的vhdl码 -timer code in vhdl
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1393
    • 提供者:heyong
  1. qiangdaqi

    0下载:
  2. 使用vhdl语言设计的一个四人参加的智力竞赛抢答计时器。当有某一参赛者首先按下抢答开关时,响应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于100s(显示为0—99),时间显示采用倒计时方式。当达到限定时间时,的发出声响以示警告。 -Using VHDL language design four people to participate in the quiz answer in the timer. When a participa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:192263
    • 提供者:陈小龙
  1. lanqiujishiqi

    0下载:
  2. 这是篮球计时器,vhdl源代码,包括12min倒计时,24sec倒计时-basketball game time paly.including 12min,24sec……
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1818459
    • 提供者:zhuming
  1. q

    0下载:
  2. 数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:6602
    • 提供者:李苏铭
  1. second

    0下载:
  2. 上传个EDA得VHDL语言编程得秒计时器,希望对大家能有所帮助 谢谢了-From months EDA was VHDL language programming a second timer, I hope all of you can help I would like to thank the
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:3012
    • 提供者:周杰
  1. clock

    0下载:
  2. 数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。由于数字集成电路的发展和石英晶体震荡器的使用,使得数字钟的精度、稳定度远远超过了机械钟表,已成为人们日常生活中必不可少的必需品。-Digital Clock is a digital circuit implementation, " when" , " sub" , " second" The figures show that the timing device. Digita
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:339081
    • 提供者:庄青青
  1. miaobiao.RAR

    0下载:
  2. 实验采用七段码LED设计(数码管),显示直观;采用定时器中断,计时更准确;功能齐全,可随时启动、停止、清零,后者智能化程度更高。-Seven-Segment LED code using the experimental design (digital control), visual display using timer interrupt, a more accurate time functions, may at any time to start, stop, cleared,
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:34047
    • 提供者:cuipinpin
  1. qda

    1下载:
  2. 三路智力竞赛抢答器,利用VHDL设计抢答器的各个模块,并使用EDA 工具对各模块进行仿真验证。智力竞赛抢答器的设计分为四个模块:鉴别锁存模块;答题计时模块;抢答计分模块以及扫描显示模块。把各个模块整合后,通过电路的输入输出对应关系连接起来。设计成一个有如下功能的抢答器: (1)具有第一抢答信号的鉴别锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,数码管显示出抢答者的组别。同时电路处于自锁状态,使其他组的抢答器按钮不起作用。 (2)具有计分功能。在初始状态时,主持
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:234821
    • 提供者:menglj
  1. qiangdaqi

    0下载:
  2.   (1) 抢答器线路测试功能   为了保证比赛的正常进行,比赛前需要调试线路能否正常工作。    (2) 第一抢答信号的鉴别和锁存功能   可以判断谁最先抢到回答的资格,其相应的绿灯表示抢答成功,并具有锁存功能,一直到下一题开始。    (3) 犯规警示功能   可以判断出参赛者有没有在主持人读题的期间按下抢答器,有则相应的红灯亮,同时取消其本轮抢答资格。    (4) 计时功能   可以预置时间,可以进行倒计时并且将时间显示出来。    (5) 计分功能
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:956489
    • 提供者:孙国栋
  1. 5personsanswerdevice

    0下载:
  2. (1) 抢答器线路测试功能:为了保证比赛的正常进行,比赛前需要调试线路能否正常工作。(2) 第一抢答信号的鉴别和锁存功能:可以判断谁最先抢到回答的资格,其相应的绿灯表示抢答成功,并具有锁存功能,一直到下一题开始。(3) 犯规警示功能:可以判断出参赛者有没有在主持人读题的期间按下抢答器,有则相应的红灯亮,同时取消其本轮抢答资格。(4) 计时功能可以预置时间,可以进行倒计时并且将时间显示出来,还有最后十秒警示功能。(5) 计分功能:可以实现加分,并且显示出来。 -5persons answer
  3. 所属分类:SCM

    • 发布日期:2017-05-07
    • 文件大小:1054478
    • 提供者:徐进
  1. VHDL_32bit_timer

    0下载:
  2. VHDL写的32位计数,两个四位共阳数码管输出 串口输出+数码管显示的计时器程序 每次停止后串口输出。-VHDL to write 32-bit count, a total of two 4-yang control output serial digital output+ digital tube displays each stopped the timer program serial output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:250616
    • 提供者:
  1. traffic

    0下载:
  2. 基于DE2平台VHDL下编程,交通灯及计时器控制实验程序-Under the DE2 platform based on VHDL programming, traffic lights and timer control of experimental procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:397057
    • 提供者:hu
  1. VHDL

    0下载:
  2. 四路抢答器。自锁,灭灯,响闹,计时,显示。-Four ways of vies to implement VHDL source language
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:6903
    • 提供者:谢铷祥
  1. ISE_lab16

    0下载:
  2. 使用VHDL语言设计数字钟。 数字钟由晶振、分频器、计时器、译码器、显示器等组成-Digital clock design using the VHDL language. Digital clock from the crystal oscillator, frequency divider, timer, decoder, display and other components
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:482290
    • 提供者:zhangsheng
  1. count60

    0下载:
  2. 基于FPGA的VHDL的秒表计时器程序,希望有助于FPGA初学者。 -I down know。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:25991
    • 提供者:周健
  1. TAXI

    0下载:
  2. 基于VHDL的出租车计费器,通过VHDL语言来编程实现计费系统的四个功能块:分频模块,控制模块,计量模块和译码显示模块,最后使用MAX+PLUSII软件来对程序进行仿真,以模拟实现出租车的启动,停止以及等待等过程中的计时,计程和计费功能。-Taxi meter based on VHDL, VHDL language programming through the billing system of the four functional blocks: frequency module, co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:949
    • 提供者:张鹏飞
  1. siluqiangdaqi

    0下载:
  2. 通过VHDL程序设计一个4人参加的智力竞赛抢答计时器,当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。 电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示为0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 -VHDL programming by a 4 quiz participants answer in timer, when a participant first press the answe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6132
    • 提供者:longking
  1. clock1

    0下载:
  2. VHDL语言实现多功能数字钟设计:(1) 计时功能:这是本计时器设计的基本功能,每隔一分钟计时一次,并在显示屏上显示当前时间。 (2) 闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声。 (3) 设置新的计时器时间:用户用数字键‘0’~‘9’输入新的时间,然后按 "TIME"键确认。 (4) 设置新的闹钟时间:用户用数字键“0”~“9”输入新的时间,然后按“ALARM”键确认。过程与(3)类似。 (5) 显示所设置的闹钟时间:在正常计时显示状态下,用户直接
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:346217
    • 提供者:雪圣
  1. rungametiming

    0下载:
  2. 这是一个计时器,起到秒表的作用,用vhdl实现-this is a watch used to get the time each runner takes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1028
    • 提供者:zz
« 1 23 4 »
搜珍网 www.dssz.com